Fact-checked by Grok 2 weeks ago

ARM Cortex-X3

The ARM Cortex-X3 is a high-performance CPU core developed by as part of its Cortex-X custom program, implementing the Armv9.0-A 64-bit architecture and targeting premium mobile devices such as flagship smartphones and laptops. Announced on June 28, 2022, alongside the Cortex-A715 and Cortex-A510 as components of Arm's Total Compute Solutions 2022, it emphasizes single-threaded performance gains through architectural enhancements like a widened execution and improved branch prediction. The core supports DynamIQ cluster configurations, enabling integration with other CPU types for in system-on-chips (SoCs). Key features of the Cortex-X3 include the execution state across exception levels EL0 to EL3, Scalable Vector Extension (SVE) with 128-bit vector length and SVE2 support, Advanced SIMD and floating-point units, and an optional Cryptographic Extension for enhanced . It incorporates a (MMU) with 40-bit physical and 48-bit virtual addressing, separate L1 instruction and data caches, a private unified L2 cache, and error correction via parity or on caches and translation structures. Additional capabilities encompass the Generic Interrupt Controller (GIC) v4.1 interface, 64-bit Generic Timers, (RAS) extensions, Activity Monitoring Unit (AMU) for power profiling, Performance Monitoring Unit (PMU), and debug features like Embedded Trace Extension (ETE) and Statistical Profiling Extension (SPE). The Cortex-X3 delivers up to 25% improved single-threaded performance over the Cortex-X2 in benchmarks relevant to flagship smartphones, with 34% gains compared to mainstream laptops, marking the third year of double-digit instructions-per-cycle () uplift. It features a 50% larger L1/ branch target buffer and a 10x larger branch target buffer for better prediction accuracy, alongside support for the DynamIQ Shared Unit-110 (DSU-110) enabling clusters of up to 12 cores with 16 MB L3 cache. These advancements prioritize compute-intensive tasks like AI processing and while maintaining in big.LITTLE configurations. Since its release, the Cortex-X3 has been adopted in leading mobile SoCs, including the 8 Gen 2 with a prime core clocked up to 3.2 GHz for devices like the series, and the MediaTek Dimensity 9200 series with speeds exceeding 3 GHz for high-end phones. It also powers the Google Tensor G3 in devices, contributing to advancements in on-device and multimedia workloads. The core's design facilitates customization by partners, supporting innovations in areas like ray-traced graphics and applications.

Overview

General characteristics

The ARM Cortex-X3 is a high-performance CPU core designed by and launched in 2022, with its official announcement on June 28. It serves as the flagship processor in Arm's portfolio, targeting demanding workloads in mobile and compute devices. The core implements the Armv9.0-A , operating exclusively in 64-bit mode without support for 32-bit AArch32, which enables optimizations for modern software ecosystems. It features a 48-bit and a 40-bit space, supporting efficient in high-end systems. The , known as Makalu-ELP, represents a performance-oriented evolution within Arm's Cortex-X series. As part of Arm's Total Compute Solutions 2022 (TCS22), the Cortex-X3 integrates alongside the efficiency-focused Cortex-A715 and Cortex-A510 cores, the Immortalis-G715 GPU, and CoreLink system IP to form scalable big.LITTLE configurations. It supports up to 12 cores per cluster in DynamIQ configurations using the DSU-110 shared unit, facilitating flexible multi-core setups for high-performance applications such as smartphones and tablets. The core leverages DynamIQ technology for coherent multi-core operation.

Design and announcement

The ARM Cortex-X3 was announced on June 28, 2022, as part of Arm's Total Compute Solutions 2022 (TCS22) roadmap, which outlined advancements in CPU, GPU, and system IP for next-generation mobile and computing devices. This third-generation high-performance core in the Cortex-X series succeeded the Cortex-X2 and was positioned to push the boundaries of single-threaded performance in premium segments. The design goals centered on achieving a 25% uplift in peak single-threaded performance compared to the Cortex-X2 at identical power and process conditions, with a strong emphasis on sustained performance for and workloads as well as large-screen experiences in premium mobile devices. Development prioritized a balance of high (IPC) and power efficiency within the Armv9 ecosystem, incorporating support for Scalable Vector Extension 2 (SVE2) to enable advanced vector computing capabilities. The core bears the codename Makalu-ELP. IP licensing for the Cortex-X3 became available to designers starting in late 2022, enabling integration into custom silicon designs, with the first commercial products featuring the core emerging in 2023.

Architecture

Core microarchitecture

The ARM Cortex-X3 core utilizes an featuring an 8-wide rename and dispatch stage, capable of processing up to 8 macro-operations (MOPs) and dispatching up to 16 micro-operations (μOPs) per cycle under specific constraints. This design enables efficient handling of by dynamically scheduling operations based on data dependencies. The reorder buffer (ROB) accommodates 320 entries, facilitating robust tracking and retirement of instructions to maintain precise and ordering. Branch prediction in the Cortex-X3 relies on a two-level adaptive predictor, augmented by an indirect target buffer and enhancements to the return stack, which improve prediction accuracy for indirect branches and function returns in intricate code sequences. For integer computations, the core incorporates 6 arithmetic logic units (ALUs) to execute parallel operations such as additions, multiplications, and logical functions, enhancing throughput for general-purpose workloads. The load/store unit comprises dual load pipelines and dual store pipelines, collectively supporting up to 6 operations per , including handling of unaligned accesses with minimal penalties when aligned properly. To sustain this parallelism, the register file includes 192 physical integer registers and 160 floating-point registers, allowing extensive renaming to reduce stalls from register pressure. The Cortex-X3 fully implements the Armv9.0-A architecture in AArch64 execution state, incorporating extensions such as dot product instructions (e.g., for INT8 and FP16) and complex number support to accelerate multiplications and in applications. This core integrates into Arm's DynamIQ technology for flexible multi-core scaling in heterogeneous configurations.

Instruction pipeline and execution

The instruction pipeline of the ARM Cortex-X3 employs a superscalar, paradigm to maximize throughput while maintaining in-order retirement for precise . Instructions are initially fetched from the L1 instruction cache and proceed through the frontend, where they are decoded into internal macro-operations (). These MOPs may further split into micro-operations (μOPs) during subsequent stages. The renamed and dispatched operations are then issued out-of-order to a set of 17 execution , encompassing , load/store, branch, and floating-point/advanced SIMD units, before results are committed in program order. The frontend features enhanced decode bandwidth, capable of processing up to 6 , enabling efficient handling of dense code sequences through macro-op techniques that combine adjacent operations. Dual decode clusters facilitate this , allowing the system to fetch up to 12 from the instruction cache while integrating branch prediction mechanisms for . This design supports the Armv9.0-A instruction set, with dynamic scheduling to minimize stalls from dependencies or mispredictions. In the backend, the Cortex-X3 achieves peak throughput of up to 8 instructions retired per via a 3-wide issue mechanism to execution units, leveraging a deep optimized for high-frequency operation. The pipeline spans 19 stages to balance and clock speed, while dynamic scheduling ensures operands are ready before dispatch to the appropriate pipelines. For vector and floating-point workloads, 4-wide FP/ pipes handle scalar and SIMD operations, augmented by SVE2 support with 128-bit length for scalable processing in and tasks. Efficiency during varying loads is enhanced by fine-grained and clocking domains, which isolate and deactivate idle sections of the —such as frontend clusters or backend units—reducing leakage without impacting active execution paths. This allows seamless transitions between full-performance mode and low-activity states, preserving life in mobile applications.

Memory subsystem

The memory subsystem of the ARM Cortex-X3 core employs a hierarchical structure optimized for low and high throughput in demanding workloads, integrated within the DynamIQ shared framework. Each core features a split L1 consisting of a 64 KiB instruction and a 64 KiB , both 4-way set associative, with or protection for reliability. The private L2 cache per core is configurable as 512 KiB or 1 MiB and is 8-way set associative, inclusive of L1 content to ensure efficient data reuse without duplication overhead. In a DynamIQ cluster, cores share an L3 cache ranging from 512 KiB to 16 MiB, controlled by the DSU-110 unit, which enforces coherence through snoop-based protocols to maintain data consistency across multiple cores. Memory capabilities include up to 64 bytes per cycle for loads and 48 bytes per cycle for stores, with native support for 64-bit DDR5 and LPDDR5 interfaces to deliver high system-level . Address translation is handled by a 64-entry L1 TLB and 64-entry L1 TLB per , complemented by a 4096-entry L2 unified TLB for larger working sets. Key features encompass non-temporal stores for bypassing caches in streaming scenarios, hardware prefetchers that detect sequential or strided streams to proactively load into L1 and L2 caches, and adherence to the Coherent Hub Interface () protocol for scalable, coherent interconnects with external memory controllers and other cluster components.

Improvements from previous generation

Key enhancements over Cortex-X2

The ARM Cortex-X3 introduces several architectural modifications compared to the Cortex-X2, primarily aimed at increasing instruction throughput and capacity while optimizing for high-performance workloads. A key change is the expansion of the decode bandwidth from 5-wide to 6-wide, enabling to process up to six for improved overall throughput. This adjustment, combined with a reduced micro-op (MOP) cache size to 1,500 entries (half of the X2's 3,000), maintains high bandwidth at 8 per cycle but shortens the to 9 stages from 10, reducing in the front-end. In the execution backend, the Cortex-X3 increases the number of integer ALUs from four to six (four simple and two complex units, with one supporting integer division), which enhances computation capabilities. Load/store resources are also upgraded, shifting from two load/two store queues in the X2 to a with three address generation units (AGUs)—two for read/write and one read-only—doubling the load to 32 bytes per cycle from 24 bytes. These changes support dual load and dual store operations with higher throughput, better handling memory-intensive tasks. The reorder buffer () is expanded from 288 to 320 entries, allowing a larger window of out-of-order instructions (up to 640 in flight), which improves and reduces stalls in complex code sequences. Branch prediction receives significant attention, with an enhanced predictor featuring a 10x larger branch target buffer (BTB), over 50% larger L1 and BTBs, and a new dedicated predictor paired with an expanded indirect target array. This contributes to an overall 6.1% reduction in branch mispredictions and a 12.2% decrease in cycles lost to mispredictions compared to the X2. These enhancements deliver an 11% instructions-per-cycle () gain within the same power envelope, balancing performance uplift against efficiency in premium mobile designs. Smaller L1 caches and optional configurations (512 KiB or 1 ) further allow tuning for power savings, with the larger reducing cache misses by up to 27%.

Performance optimizations

The ARM Cortex-X3 core achieves an average 11% uplift in () compared to the Cortex-X2 when evaluated under identical frequency, process node (such as 4nm), and cache configurations. This improvement stems from architectural refinements that enhance instruction throughput without increasing power draw at iso-conditions. In peak performance scenarios, the Cortex-X3 delivers up to 25% higher single-threaded performance than the Cortex-X2, operating at 3.3 GHz versus the prior core's 2.9 GHz in typical implementations. This gain reflects combined benefits from increases and higher sustainable clock speeds, enabling better handling of demanding tasks like application launches and computations. Optimizations such as a smaller L1 and configurable (512 KiB or 1 MiB) further contribute by cutting refill and writeback activity by up to 26.9%, lowering overall energy consumption in prolonged scenarios. Benchmark results highlight these gains in integer-heavy tasks, with SPECint 2017 showing approximately 8.5-11% improvements over the Cortex-X2, aligning with the core uplift. In real-world testing on implementations like the 8 Gen 2, 6 single-core scores reach 1,985-2,100 points at stock clock speeds, demonstrating strong responsiveness for everyday computing. For machine learning inference workloads, the Cortex-X3 leverages SVE2 extensions to accelerate vector-based operations common in tasks. These optimizations, including improved branch prediction that cuts mispredicts by 6.1%, reduce execution cycles by about 12.2% compared to the prior generation.

Implementations and usage

Adoption in mobile SoCs

The ARM Cortex-X3 core saw in flagship mobile system-on-chips (SoCs) following its announcement in mid-2022, primarily through ARM's DynamIQ licensing model that allows SoC vendors to customize and integrate the IP into heterogeneous clusters. This flexibility enabled configurations such as 1+3+4 or 1+4+3 clusters, combining one Cortex-X3 prime or ultra with mid-tier and efficiency cores for balanced performance and power efficiency in premium smartphones. Qualcomm was among the first to integrate the Cortex-X3, featuring it as the prime core in the Snapdragon 8 Gen 2 (SM8550) SoC, announced on November 15, 2022. MediaTek followed shortly after, incorporating a single Cortex-X3 ultra core in the Dimensity 9200 (MT6985), unveiled on November 8, 2022, and its refreshed variant, the Dimensity 9200+, announced on May 10, 2023. Google also adopted the core in its Tensor G3 SoC, announced on October 4, 2023, for the Pixel 8 series, utilizing one Cortex-X3 core within a 9-core (1+4+4) arrangement. The first commercial devices powered by Cortex-X3-equipped SoCs emerged in late 2022, with the Vivo X90 Pro launching on December 6, 2022, as the initial handset featuring the Dimensity 9200. Adoption expanded widely in 2023 flagship smartphones, including the series, released globally on February 17, 2023, with the 8 Gen 2; the Oppo Find X6, available from March 24, 2023, powered by the Dimensity 9200; and the 8 series, which shipped starting October 12, 2023, with the Tensor G3.

Clock speeds and configurations

The ARM Cortex-X3 core is typically implemented as a single prime core in system-on-chips (SoCs), clocked between 2.9 GHz and 3.35 GHz depending on the vendor's tuning for performance and thermal constraints. In Qualcomm's Snapdragon 8 Gen 2, the configuration features one Cortex-X3 core at up to 3.2 GHz in the standard variant (SM8550), up to 3.36 GHz in the 'for ' variant (SM8550-AC), or 3.19 GHz in the SM8550-AB variant, paired in a 1+2+2+3 cluster with two Cortex-A715 cores at 2.8 GHz, two Cortex-A710 cores at 2.8 GHz, and three Cortex-A510 efficiency cores at 2.0 GHz. MediaTek's Dimensity 9200 employs one Cortex-X3 core at 3.05 GHz within a standard 1+3+4 arrangement, including three Cortex-A715 cores at 2.85 GHz and four Cortex-A510 cores at 1.8 GHz, while the overclocked Dimensity 9200+ variant boosts the X3 to 3.35 GHz with the A715 cores reaching 3.0 GHz. Google's Tensor G3 adopts an unusual 1+4+4 configuration emphasizing efficiency, with one Cortex-X3 core at 2.91 GHz, four Cortex-A715 cores at 2.37 GHz, and four Cortex-A510 cores at around 1.7 GHz, reflecting a focus on sustained operation over peak speeds in devices. These clock speeds enable short bursts of high performance, but thermal limits in mobile form factors typically cause throttling after brief sustained loads, with the X3 core configurable through Arm's DynamIQ Shared Unit for cluster interconnect and to manage heat. The core's design includes an extra-low-power (ELP) variant derived from the architecture, optimized for balanced performance and power in premium mobile profiles. While most implementations stick to a single X3 core to avoid excessive thermal buildup, dual-X3 configurations have been explored for ultra-premium SoCs but remain rare in 2023-2024 production due to power and heat challenges in compact devices.

Comparisons

Versus Cortex-X2

The Cortex-X3 offers targeted improvements over the Cortex-X2, focusing on wider execution resources and enhanced branch prediction to deliver higher single-threaded performance in demanding workloads such as mobile gaming and productivity applications. While both cores share the Armv9.0-A , the X3 shifts emphasis toward broader parallelism in and floating-point operations, building on the X2's foundational Armv9 adoption to prioritize in DynamIQ configurations. This evolution enables the X3 to achieve an 11% increase in (IPC) under identical process, clock, and conditions. Key architectural specifications highlight the X3's expanded capabilities for and :
FeatureCortex-X3Cortex-X2
Decode width6 instructions5 instructions
ALUs65
Reorder buffer ()320 entries288 entries
L2 range512–1024 KiB512–1024 KiB
These changes contribute to a 25% uplift in peak performance when the X3 operates at higher clock speeds, such as 3.3 GHz compared to the X2's typical 2.9 GHz in implementations. In branch-intensive code, the X3 demonstrates 15–20% better performance due to a significantly expanded branch target buffer (BTB), including a 10x larger BTB capacity, reducing misprediction penalties in control-flow heavy scenarios. Efficiency gains are notable in floating-point workloads, where the X3 delivers equivalent performance to the X2 at approximately 15% lower power consumption in SPECfp tasks, thanks to refined balancing and reduced thrashing with flexible sizing. The design philosophy of the X3 prioritizes wider single-thread execution units to maximize throughput in premium single-core scenarios, contrasting with the X2's primary role in pioneering Armv9 features like and scalable extensions. Both cores maintain full compatibility with Armv9.0-A, including SVE2 support, though the X3's optimizations yield about 10% faster mathematics execution through improved scheduling and reduced in SIMD pipelines.

Versus contemporary competitors

The ARM Cortex-X3, as implemented in high-end mobile SoCs like the Qualcomm Snapdragon 8 Gen 2, trails the Apple A16 Bionic (introduced in 2022) by approximately 20-30% in single-threaded performance metrics such as Geekbench 5, where the A16 achieves around 1,879 points compared to the Snapdragon 8 Gen 2's 1,490 points. In multi-threaded workloads, however, the Cortex-X3 configuration matches the A16's efficiency closely, with scores of about 5,131 versus 5,307 in the same benchmark, reflecting balanced scaling across the heterogeneous cluster. The A16's advantage stems from its custom microarchitecture under Apple's Arm architectural license, which supports higher clock speeds up to 3.46 GHz on its performance cores, enabling superior peak throughput in bursty tasks. In contextual comparisons to Qualcomm's prior custom Kryo cores, the Cortex-X3 delivers a roughly 15% performance uplift over the X2-based prime core in the Snapdragon 8+ Gen 1, primarily through an 11% increase in instructions per clock (IPC) at equivalent process nodes and frequencies, allowing licensees to achieve higher sustained speeds without excessive power draw. This incremental gain positions the X3 as a bridge toward more competitive Android flagship performance, though it remains behind fully custom designs. Against Intel's P-cores, such as those in the Core i7-1260P (launched in 2022), the Cortex-X3 excels in power-constrained environments typical of mobile devices, operating at around 5W versus the Alder Lake's 28W base envelope. It offers 10-15% better in application workloads, with Arm estimating up to 34% higher peak at iso- or 28% lower at equivalent levels, making it suitable for thin-and-light laptops or always-on scenarios where limits favor over raw output. Absolute lags by about 25%, as the x86 P-cores prioritize wider execution resources for desktop-like multitasking. Benchmark highlights underscore these dynamics: in Geekbench 5 single-core tests, the Cortex-X3 scores approximately 1,490, compared to the A16's 1,879, highlighting a persistent gap in and floating-point throughput. The X3's of Armv9 features, including enhanced matrix multiply instructions, narrows this disparity in and tasks by up to 2x in architectural efficiency over prior generations, enabling better on-device inference without dedicated accelerators. A key is the Cortex-X3's licensed model, which provides broad flexibility for SoC vendors to integrate and scale across devices but results in lower peak than custom like Apple's, due to the standardized and shared design constraints that limit aggressive optimizations for specific workloads. This approach ensures and faster time-to-market but cedes ground in scenarios demanding maximal per-core efficiency.

References

  1. [1]
    New generation of Armv9 CPUs unleash unprecedented compute ...
    Jun 28, 2022 · Now, we are excited to announce our second generation of Armv9 based CPUs. These include the Arm Cortex-X3 and Arm Cortex-A715, as well as ...
  2. [2]
    Arm Cortex-X3 Core Technical Reference Manual
    The Cortex-X3 core is a high-performance, low-power Arm v9.0-A core for large-screen compute, implemented in a DynamIQ cluster with DSU-110.
  3. [3]
    Cortex‑X3 core features - Arm Developer
    The Cortex-X3 core features Armv9-A A64 instruction set, MMU, 40/48-bit address, SVE, integrated SIMD, and separate L1 data/instruction caches.
  4. [4]
    [PDF] Snapdragon® 8 Gen 2 Mobile Platform - Qualcomm
    • Arm Cortex-X3 technology. • 4 Performance cores, up to 2.8 GHz. • 3 Efficiency cores, up to 2.0 GHz. Visual Subsystem. Adreno GPU. • Real-time Hardware ...
  5. [5]
    Dimensity 9200 Chipset for Top Performance - MediaTek
    Nov 8, 2022 · The Dimensity 9200 is the first smartphone chip to integrate an Arm Cortex X3 with operating speeds over 3GHz, and the first featuring the Arm ...
  6. [6]
    Arm X3 CPU gets a 25% speed boost, should still be slower than a ...
    Jun 29, 2022 · Arm is promising the X3 CPU will 25 percent performance improvement over the X2, while the Cortex A715 is claiming a 20 percent energy efficiency gain and 5 ...
  7. [7]
    Cortex-X Custom CPU | Empowering Next-Gen CPU Performance
    The Arm Cortex-X Custom Program enables customization and differentiation beyond the traditional roadmap of Arm Cortex products.
  8. [8]
    Arm Total Compute Solutions Redefine Visual Experiences and ...
    We are continuing to increase single-threaded performance with the new Arm Cortex-X3 which targets a range of benchmarks and applications, delivering a 25% ...
  9. [9]
    Arm's new Total Compute Solutions (TCS22) - Arm Developer
    Jun 28, 2022 · New IP and upgrades across the solutions. First, there are new CPUs and GPUs, with Arm launching the new Arm Cortex-X3 CPU, Arm Cortex-A715 CPU ...
  10. [10]
    Cortex-X3 (Makalu-ELP) - Microarchitectures - ARM - WikiChip
    Apr 15, 2025 · Cortex-X3 (Makalu-ELP) is the successor to the Cortex-X2 (Matterhorn-ELP), a performance-enhanced version of the Cortex-A715 (Makalu), low-power high- ...
  11. [11]
    None
    Summary of each segment:
  12. [12]
    Cortex-X3: the new fastest core from ARM (architecture analysis)
    Jul 5, 2022 · According to ARM, at 3.3 GHz, the Cortex-X3 will achieve up to 25 % better single-threaded performance than the Cortex-X2 at 2.9 GHz. This is ...
  13. [13]
    Arm Unveils Next-Gen Flagship Core: Cortex-X3 - WikiChip Fuse
    Jun 28, 2022 · The Cortex-X3 CPU is a third-generation core as part of the Cortex-X custom core program designed to bring higher performance through slightly different PPA ...
  14. [14]
    Microprocessor Cores and Processor Technology - Arm
    The first Armv9-A high-performance Cortex-A CPU based on Arm DynamIQ technology and designed for safety critical applications.Ethos-U55 · Cortex-A725 · Cortex-M85 · Cortex-M4Missing: X3 | Show results with:X3
  15. [15]
    power modes. - Arm Cortex-X3 Core Technical Reference Manual
    The Cortex-X3 core power domain has a defined set of power modes and corresponding legal transitions between these modes.Missing: fine- grained
  16. [16]
    Arm® Cortex‑X3 Core Technical Reference Manual
    This manual is for system designers, system integrators, and programmers who are designing or programming a System on Chip (SoC) that uses an Arm core.Missing: specifications | Show results with:specifications
  17. [17]
    L1 cache encodings - Cortex-X3 - Arm Developer
    Virtual address [13:6]. [5:0]. Reserved. Table 2. Cortex®‑X3 L1 instruction ... Physical address [13:6]. [5:0]. Reserved. Table 6. Cortex®‑X3 L1 data cache ...
  18. [18]
    Cortex‑X3 core configuration options - Arm Developer
    The Cortex®‑X3 core implementation options include: Vector datapath: You can configure the Vector datapath to be 2x128b or 4x128b.
  19. [19]
    DynamIQ: Revolutionizing Multicore Computing - Arm
    First DynamIQ Shared Unit of the Armv9 generation, up to 12 cores supported, up to 16MB L3, and enhanced power management features. Supporting: Arm Cortex-X3 ...
  20. [20]
    Data prefetching - Arm Cortex-X3 Core Technical Reference Manual
    The load side prefetcher uses the Virtual Address (VA) to prefetch to both the L1 and L2 caches. The store side prefetcher uses the Physical Address (PA), and ...
  21. [21]
    CHI protocol fundamentals - Arm Developer
    The CHI protocol classifies different components in a system by node type and provides a means for communication between nodes.Missing: Cortex- X3 prefetchers
  22. [22]
    Arm primes Cortex-X3 and Cortex-A715 CPUs for premium ...
    Jun 28, 2022 · Arm debuts the next generation of premium mobile CPUs in the form of Cortex-X3, Cortex-A715 and an updated version of Cortex-A510.<|control11|><|separator|>
  23. [23]
    Arm unveils Cortex-X3 and Cortex-A715 Armv9 cores, improves ...
    Jun 29, 2022 · Processors will be offered with up to 8x Cortex-X3 CPU cores and 4 Cortex-A715 CPU cores for premium laptops delivering up to 120% performance ...
  24. [24]
    Android Benchmarks - Geekbench
    Welcome to the Geekbench Android Benchmark Chart. The data on this chart is gathered from user-submitted Geekbench 6 results from the Geekbench Browser.Samsung Galaxy S25 Ultra · Xiaomi Mi Max 2 · Samsung Galaxy S25 · OnePlus 12
  25. [25]
    Qualcomm Snapdragon 8 Gen 2: specs and benchmarks
    Qualcomm Snapdragon 8 Gen 2 – an 8-core chipset that was announced on November 15, 2022, and is manufactured using a 4-nanometer process technology.Snapdragon 8 Elite (Gen 4) vs... · Snapdragon 8s Gen 3 vs... · OnePlus Ace 2 Pro
  26. [26]
    Snapdragon 8 Gen 2 Defines a New Standard for Premium ...
    Nov 15, 2022 · The Snapdragon 8 Gen 2 Mobile Platform will define a new standard for connected computing, intelligently engineered with groundbreaking AI across the board.
  27. [27]
    MediaTek Launches Flagship Dimensity 9200 Chipset for Incredible ...
    Nov 8, 2022 · The Dimensity 9200 is the first smartphone chip to integrate an Arm Cortex X3 with operating speeds over 3GHz, and the first featuring the Arm ...
  28. [28]
    MediaTek Pushes Flagship Smartphone Performance Further with ...
    May 10, 2023 · The Dimensity 9200+ has a 4CC-CA 5G Release ... Smartphones powered by the MediaTek Dimensity 9200+ are expected to be released in May 2023.
  29. [29]
    Google Tensor G3: The new chip that gives your Pixel an AI upgrade
    Oct 4, 2023 · Our third-generation Google Tensor G3 chip brings the latest in AI to the Pixel 8 and Pixel 8 Pro. This past year we've seen incredible AI ...
  30. [30]
    vivo X90 and X90 Pro announced with Dimensity 9200 and 120W ...
    Nov 22, 2022 · Both vivo X90 and X90 Pro are on pre-order in China starting today while open sales begin on December 6. International availability and pricing ...
  31. [31]
    Samsung Announces Global Launch of the Galaxy S23 Series
    Feb 17, 2023 · Starting on February 17, 2023, Galaxy S23 Ultra, Galaxy S23+, and Galaxy S23 will be available on Samsung.com and through carriers and retailers ...
  32. [32]
    Oppo Find X6 - Full phone specifications - GSMArena.com
    Oppo Find X6 · Released 2023, March 24 · 207g, 9mm thickness · Android 13, ColorOS 13.1 · 256GB/512GB storage, no card slot.
  33. [33]
    Google Tensor G3: All you need to know about Pixel 8 processor
    May 26, 2024 · The Pixel 8's Tensor G3 sports a single powerhouse Arm Cortex-X3 CPU core clocked at 2.91GHz, four Cortex-A715 cores at 2.37GHz, and four little Cortex-A510 ...
  34. [34]
  35. [35]
    MediaTek Dimensity 9200+ | Premium 5G | Smartphone Chipset
    MediaTek Dimensity 9200+ delivers flagship 5G performance with advanced AI, superior gaming, and efficient power management for premium smartphones.
  36. [36]
    Snapdragon 8 Gen 2 deep dive: Everything you need to know
    Feb 8, 2024 · CPU Config. Snapdragon 8 Gen 2. 1x 3.19GHz (Cortex-X3) 2x 2.8GHz (Cortex-A715) 2x 2.8GHz (Cortex-A710) 3x 2.0GHz (Cortex-A510). Snapdragon 8 Gen ...Missing: microarchitecture | Show results with:microarchitecture
  37. [37]
    Dimensity 9200 | Flagship 5G Smartphone Chip - MediaTek
    Experience flagship performance with the MediaTek Dimensity 9200, a 5G SoC built for power and efficiency. Enjoy sharper images, smoother gaming, and immersive ...
  38. [38]
    MediaTek Dimensity 9200 delivers Cortex-X3, Immortalis GPU
    Nov 8, 2022 · The MediaTek Dimensity 9200 delivers Arm's new Cortex X3 CPU, Immortalis GPU, and the company's first flagship chip with mmWave 5G support.
  39. [39]
    Cortex X2: Arm Aims High - by Chester Lam - Chips and Cheese
    Oct 27, 2023 · Instead, they use virtual addresses, and the operating system sets up a map of virtual address to physical addresses for each process. This ...
  40. [40]
    Snapdragon 8 Gen 2 vs Apple A16 Bionic: Benchmark Comparison
    Oct 15, 2025 · Whereas in our testing, Apple's A16 Bionic chipset scored 1879 in the single-core test and 5307 in the multi-core test. Snapdragon 8 Gen 2 vs ...
  41. [41]
    Why are Apple's chips faster than Qualcomm's? - Gary explains
    May 2, 2023 · The difference is that Apple holds an architectural license with Arm, which allows it to design its own chips from scratch. Apple's first in- ...
  42. [42]
    Arm says its Cortex-X3 CPU smokes this Intel laptop silicon
    Jun 28, 2022 · The X3, revealed Tuesday alongside other CPU and GPU blueprints, is expected to provide an estimated 34 percent higher peak performance than a performance core ...Missing: ALUs | Show results with:ALUs
  43. [43]
    Cortex-X3 Proves Its Area Efficiency - TechInsights
    In this class, Apple still delivers the fastest CPU through a combination of superior per-clock performance (IPC) and higher peak clock rate. The Everest ...<|control11|><|separator|>