Fact-checked by Grok 2 weeks ago

Power gating

Power gating is a low-power employed in integrated circuits, particularly in application-specific integrated circuits () and system-on-chip (SoCs), to minimize static leakage power consumption by selectively shutting off the power supply to inactive logic blocks or cells through the use of sleep s. This method operates by partitioning the chip into power domains—some always-on for essential functions and others switchable—allowing fine-grained control where power can be gated to individual modules without affecting active regions. Originating from multi-threshold (MTCMOS) approaches, power gating has become a standard practice in nanoscale VLSI designs to combat the increasing dominance of subthreshold leakage currents as sizes shrink below 90 nm. At its core, power gating inserts high-threshold-voltage (high-Vt) sleep transistors—either header switches (pMOS) between the actual power supply (VDD) and the virtual power supply (VDDV), or footer switches (nMOS) between the virtual ground (VSSV) and the actual ground (VSS)—to isolate idle circuits from the main power rails during sleep mode, effectively reducing leakage by factors of 10 to 50 times compared to always-on operation. Complementary isolation cells prevent erroneous signal propagation from powered-off domains to active ones, while state-retention flip-flops preserve critical data during power-down transitions, ensuring seamless mode switching. Design methodologies involve hierarchical switch sizing to balance area overhead (typically 5-15%) and wakeup latency, alongside power grid analysis tools to mitigate IR drop and electromigration issues in the power delivery network. Despite its effectiveness, power gating introduces challenges such as increased design complexity, including design-for-test (DFT) considerations for multi-domain and small timing penalties from insertion logic and state recovery sequences. Hybrid implementations often combine it with other techniques like multi-Vt cell libraries or dynamic voltage scaling for further optimization, and advancements continue to focus on reducing switch sizes and transition energies to enhance applicability in high-performance mobile and server processors.

Introduction

Definition and Purpose

Power gating is a low-power design technique employed in (IC) design to mitigate power consumption by disconnecting the power supply from inactive logic blocks within CMOS circuits. This method utilizes high-threshold voltage (HVT) sleep transistors, also known as power switches, to effectively isolate these blocks from the primary power rails. The primary purpose of power gating is to substantially reduce leakage power dissipation during standby or idle modes, where circuits are not actively switching but still consume significant static power due to subthreshold leakage currents. By implementing power gating, subthreshold leakage can be reduced by up to three orders of magnitude, enabling more efficient in deep-submicron technologies where leakage dominates overall power usage. In operation, power switches—typically implemented as footer transistors (NMOS between the logic and ground) or header transistors (PMOS between the logic and VDD)—are turned off to isolate inactive blocks, causing the virtual supply rails to discharge or charge to near-zero voltage relative to the power domain. This collapse of the virtual rails severs the leakage paths, minimizing current flow through the gated logic without affecting active portions of the circuit. For instance, in designs, power gating targets unused combinational and blocks, allowing them to enter a zero-power state, while elements like clock distribution networks remain powered to maintain across the chip.

Historical Context

Power gating emerged as a response to the increasing dominance of leakage power in integrated circuits during the late , particularly as process nodes scaled below 0.18 μm, where subthreshold and gate leakage currents began to significantly outpace dynamic power dissipation. Early efforts focused on multi-threshold (MTCMOS) techniques to mitigate standby leakage while preserving performance in active modes. The foundational MTCMOS approach, which inserts high-threshold sleep transistors to isolate logic blocks from power supplies during idle periods, was introduced by Mutoh et al. in 1995, enabling low-voltage operation with reduced leakage in high-speed circuits. This innovation laid the groundwork for power gating by demonstrating how engineering could balance speed and power efficiency in deep submicron technologies. Key milestones in practical implementation occurred around 2000–2002, with the first applications in low-power application-specific integrated circuits () targeting systems. A seminal contribution was the Gated-Vdd technique proposed by Powell et al. in 2000, which applied power gating to deep-submicron memories using header transistors to cut off virtual supply rails, achieving substantial leakage reductions without excessive area overhead. By 2002, similar methods were integrated into processor designs, marking the shift from theoretical concepts to deployable circuit-level solutions. Widespread adoption accelerated post-2005, driven by the node where leakage constituted up to 50% of total power in mobile and devices; techniques like MTCMOS power gating became standard in battery-constrained applications, such as wireless SoCs, to enable ultra-low standby currents. Influential IEEE works, including Keshavarzi et al.'s 1997 analysis of intrinsic leakage mechanisms, further underscored the urgency of such methods by quantifying how scaling exacerbated standby power in sub-0.25 μm CMOS. The integration of power gating as a standard technique in high-volume processors was exemplified by its incorporation in Intel's 45 nm process nodes starting in 2007, where it complemented high-k metal gate transistors to address escalating leakage in server and client CPUs. Over the subsequent decade, power gating evolved from simple block-level implementations—using large sleep transistors for entire modules—to more sophisticated hierarchical and distributed schemes, particularly in sub-10 nm nodes by the 2020s. These advanced variants employ multi-level isolation (e.g., fine-grained gating within clusters) to minimize wakeup latency and overhead while scaling to billions of transistors, as seen in modern designs for mobile SoCs and data centers incorporating gate-all-around (GAA) nanosheet transistors. This progression reflects ongoing adaptations to process variations and interconnect dominance at advanced nodes, with recent advancements including optimized power gating in neural processing units (NPUs) for AI workloads, achieving up to 33% energy savings as of 2024.

Fundamentals of Power Consumption

Static and Dynamic Power in ICs

In integrated circuits (), particularly those fabricated using complementary metal-oxide-semiconductor () technology, total power consumption is the sum of dynamic and static components, expressed as P_{total} = P_{dynamic} + P_{static}. This partitioning is fundamental to understanding challenges in modern electronics, where both active operation and idle states contribute to overall dissipation. Dynamic power arises from charging and discharging capacitances during logic transitions, while static power stems from unintended current flows even when the circuit is not switching. As ICs scale to smaller process nodes, the relative contributions of these components shift, impacting strategies for low-power systems. Dynamic power dissipation in ICs is primarily due to the switching activity of transistors and is given by the formula P_{dynamic} = \alpha C V^2 f, where \alpha is the activity factor representing the probability of a node switching per clock cycle, C is the load , V is the supply voltage, and f is the operating . This component dominates during active , as it scales quadratically with voltage and linearly with and , making it sensitive to intensity and clock speed. For instance, in high-performance processors, dynamic power can account for the majority of consumption under full utilization, but optimizations like voltage scaling directly mitigate it. Static power, in contrast, is independent of clock frequency and activity, calculated as P_{static} = I_{leak} V, where I_{leak} encompasses leakage currents such as subthreshold, , and types, and V is the supply voltage. It persists during standby or low-activity periods, consuming energy continuously and generating heat that can exacerbate further leakage through temperature dependence. With scaling, static power has grown exponentially due to shorter channel lengths and thinner gate oxides, leading to increased subthreshold leakage. The breakdown of around 2004-2006 marked a critical shift, as voltage reductions stalled to control leakage, causing static power to overtake dynamic power in standby modes and necessitate techniques. In advanced process nodes like 7 nm, static power can constitute 30-50% of total consumption in active scenarios for certain designs.

Role of Leakage Current

Leakage current in integrated circuits arises primarily from the off-state behavior of MOSFETs, where even when the transistor is intended to be off (V_{GS} < V_{th}), a small flows between the and due to weak inversion of the . This off-state conduction is exacerbated by short-channel effects, such as (V_{th}) , where scaling the channel length below approximately 100 reduces V_{th} because the gate's electrostatic weakens, allowing and fields to influence the more significantly. As a result, the effective V_{th} decreases, increasing the subthreshold leakage and contributing to higher static power dissipation in scaled technologies. The dominant leakage mechanisms in modern CMOS devices include subthreshold leakage, gate oxide tunneling, junction band-to-band tunneling, and gate-induced drain leakage (GIDL). Subthreshold leakage, the most prevalent in standby modes, occurs via diffusion of minority carriers in the weak inversion region and is modeled by the equation: I_{sub} = I_0 \exp\left( \frac{V_{GS} - V_{th}}{n V_T} \right) \left(1 - \exp\left(-\frac{V_{DS}}{V_T}\right)\right) where I_0 is a process-dependent constant, V_{th} is the threshold voltage, n is the subthreshold swing parameter (≈1-2), V_T is the thermal voltage (kT/q ≈26 mV at room temperature), V_{GS} is the gate-source voltage, and V_{DS} is the drain-source voltage. The exponential term reflects the gate voltage dependence while the saturation factor accounts for short-channel behavior. Gate oxide tunneling involves quantum mechanical direct or Fowler-Nordheim tunneling through the thin gate dielectric (typically <2 nm in advanced nodes), becoming significant as oxide thickness scales. Junction band-to-band tunneling generates electron-hole pairs across the reverse-biased source/drain junctions via quantum effects, while GIDL arises from high transverse fields at the gate-drain overlap, lowering the potential barrier and enabling band-to-band tunneling near the drain. Leakage current exhibits strong temperature and voltage dependencies, amplifying its impact in operating conditions. Subthreshold leakage roughly doubles for every 10-15°C rise due to the exponential increase in mobility and the reduction in V_{th} (by about 1-2 mV/°C), which lowers the energy barrier for . and junction tunneling components show weaker dependence but increase with voltage, as higher V_{DS} or V_{GD} enhances and tunneling probability. Process variations, including random fluctuations and line-edge roughness, can amplify leakage by 3-5× across dies, as they cause local V_{th} mismatches that disproportionately affect the subthreshold term. In mobile system-on-chips (SoCs), unchecked leakage can consume 20-40% of , severely limiting battery life in always-on devices like smartphones where idle periods dominate usage. Power gating mitigates this by collapsing the supply to inactive blocks, reducing leakage to less than 1% of the original through complete elimination of V_{DS}-driven components.

Core Techniques

Coarse-Grain Power Gating

Coarse-grain power gating applies switches to large functional modules, such as entire CPU cores or banks, utilizing shared transistors to disconnect the supply from inactive blocks and thereby suppress leakage currents. This partitions the into distinct domains, where high-threshold-voltage (high-Vt) transistors act as current switches to isolate unused regions from the main supply. By targeting broad areas rather than individual cells, it simplifies the design process while effectively addressing static dissipation in modern scaled technologies. Implementation typically involves footer or header configurations of sleep transistors, often PMOS headers for superior leakage control, placed between the global power rails and local virtual or GND rails dedicated to the block. These virtual rails distribute power within the domain, with sizing optimized to limit voltage drops (e.g., to under 50 mV IR drop at operational frequencies like 250 MHz). During wake-up, a controlled sequence ramps the voltage gradually—using techniques like soft-start mechanisms limiting in-rush currents to around 80 mA over 100 ns—to prevent excessive transient currents and ensure reliable reactivation without stressing the circuit. The technique provides low area overhead, generally 1-5% due to shared switches and minimal additional routing, and supports straightforward partitioning at the (RTL) for module-level control. In idle blocks, it achieves substantial power savings, up to 90-99% reduction in leakage power, making it suitable for scenarios with infrequent activity. For instance, it is employed in ARM-based architectures like big.LITTLE for clustering and powering down inactive cores, enabling efficient heterogeneous processing while maintaining quick state retention for resumption.

Fine-Grain Power Gating

Fine-grain power gating involves inserting sleep transistors directly adjacent to individual standard cells or small clusters of logic gates within a , enabling precise control over to inactive portions of the circuit. This approach typically leverages multi-threshold (MTCMOS) libraries, where low-threshold voltage (low-Vt) transistors are used for high-performance logic paths, while high-threshold voltage (high-Vt) sleep transistors act as switches to isolate and cut off power to those paths during idle periods. In implementation, sleep transistors are placed to create local virtual power and ground rails for each cluster, allowing dynamic enabling or disabling based on real-time activity monitoring. Techniques such as facilitate this by stacking high-Vt transistors in series with the logic, minimizing leakage while maintaining performance in active modes; control signals from activity detectors or schedulers toggle these switches to power down unused gates without disrupting adjacent active logic. This method offers superior granularity for scenarios with partial circuit activity, enabling power savings in densely utilized designs by targeting only idle elements. It also reduces IR drop compared to broader gating strategies, as localized switches limit current paths and voltage gradients during transitions. Wake-up latencies are typically under 1 μs due to the small capacitance of individual clusters, contrasting with longer delays possible in unoptimized coarser approaches. However, fine-grain power gating incurs higher area overhead, often 10-20% due to the proliferation of sleep transistors and associated routing. The increased complexity in routing virtual rails and managing control signals can complicate physical design flows. An example application is in FPGA fabrics, where sleep transistors are integrated at the or interconnect level to power gate reconfigurable logic blocks, achieving up to 38% total power reduction in 100 nm technology while supporting dynamic reconfiguration.

Supporting Mechanisms

Isolation Cells

Isolation cells are essential components in power-gated designs, serving to electrically and logically isolate powered-off domains from active ones, thereby preventing the propagation of undefined or floating signals that could cause glitches, short-circuit currents, or logical errors in the always-on circuitry. These cells are strategically placed at the boundaries between power domains, particularly at the outputs of the power-gated blocks, to ensure that signals entering active domains remain in safe, predictable states during power-down transitions. By clamping outputs to known levels, isolation cells mitigate risks associated with unpowered , such as indeterminate voltages (often denoted as 'X' in simulations) that might otherwise propagate and disrupt functionality. Common types of isolation cells include clamp cells, which utilize simple logic gates like OR to tie outputs to a safe value—such as logic 0 via an with an enable signal or logic 1 via an —when the is shut off. isolation cells function similarly but incorporate buffering to maintain without altering the logic path during normal operation. Level shifters, while primarily for multi-voltage , are sometimes integrated as isolation cells in power gating to handle voltage level transitions at interfaces, ensuring compatibility between operating at different supply levels. These cells are typically implemented using standard-cell libraries and reside in the always-on power domain to avoid their own power dependency. In operation, isolation cells are controlled by a sleep or enable signal derived from the power gating controller; during , the signal activates the clamping mechanism, holding outputs at stable states (e.g., 0 or 1) to prevent floating inputs in downstream logic. Upon wake-up, the signal deactivates the , allowing the cell to operate as a transparent or pass-through element, restoring normal signal flow with negligible additional delay—often equivalent to a single gate stage in the critical path. This design ensures minimal impact on overall circuit performance while effectively isolating the domains. The insertion of isolation cells is typically automated during the and place-and-route phases of the design flow, guided by power intent specifications in formats like (UPF). Tools such as IC Compiler analyze domain boundaries and automatically place isolation cells, optimizing their proximity to power switches to reduce routing overhead and potential issues at interfaces. This automation ensures comprehensive coverage, with verification flows targeting these cells to confirm correct hookup and functionality, thereby enhancing design reliability in multi-domain SoCs.

Retention Registers

Retention registers are specialized sequential elements employed in power-gated integrated circuits to preserve the internal state of critical flip-flops during power-off phases, preventing and facilitating swift restoration of operations upon reactivation. These mechanisms ensure that only essential state information is retained with low power overhead, allowing the main logic to be fully powered down while avoiding the need to reload data from , which would otherwise introduce significant . By isolating storage nodes or using dedicated low-leakage structures, retention registers minimize leakage current in idle modes, making them indispensable for applications requiring frequent power state transitions, such as files. Common types of retention registers include those utilizing separate retention power rails, where a dedicated always-on supply maintains ; scan-based retention approaches that leverage scan chains for and restore; and flip-flop designs featuring isolated storage nodes, such as master-slave configurations with the slave powered independently. In dual-rail designs, the master operates on the switchable primary supply (), while the slave connects to an always-on secondary supply (), ensuring even as the main domain collapses. Scan-based variants integrate retention functionality into existing design-for-test structures, reducing the need for custom cells but potentially complicating test flows. During operation, when entering , the primary to the is gated off, causing the main flip-flop circuitry to lose state, while the retention elements—often operating at reduced voltages around 0.5 —hold the bit values in a stable, low-leakage configuration. Upon wake-up, power is restored to the main domain, and the retained state is transparently propagated back to the active flip-flops, typically within nanoseconds, enabling near-instantaneous resumption of without software intervention. This relies on careful sequencing to avoid glitches, often coordinated with cells to manage signals crossing power domains. The primary trade-offs of retention registers involve increased area overhead, typically adding 5-10% to the overall footprint due to additional transistors and routing, though advanced multibit sharing techniques can mitigate this to near-zero incremental cost per flop. They also introduce minor active-mode power penalties from extra leakage paths and potential timing delays in clock-to-output paths owing to high-threshold voltage devices used for retention stability. Despite these costs, the benefits in enabling fast context switching and reducing overall system power in processors outweigh the drawbacks, particularly in scenarios with high idle times.

Design Parameters

Switch Sizing and Efficiency

The sizing of sleep transistors in power gating circuits is critical to balance performance, power efficiency, and area overhead, primarily determined by the required current handling capacity and allowable voltage drop across the switch. The width W of a sleep transistor is approximately calculated using the MOSFET linear region current equation to ensure the IR drop remains below 5% of the supply voltage: W \approx \frac{I_{\text{load}} L}{\mu C_{\text{ox}} (V_{\text{gs}} - V_{\text{th}}) \Delta V}, where I_{\text{load}} is the maximum load current, L is the channel length, \mu is the carrier mobility, C_{\text{ox}} is the oxide capacitance per unit area, V_{\text{gs}} is the gate-source voltage, V_{\text{th}} is the threshold voltage, and \Delta V is the allowable voltage drop. This formula provides an initial estimate assuming small \Delta V, and is refined through simulations to account for process variations. For high-current applications, such as large logic blocks, sleep transistors are often arranged in parallel stacks to distribute the load and reduce on-resistance without excessive area penalty. Efficiency in power gating switches is quantified by their impact on leakage in and voltage overhead in active mode. In , power gating achieves a leakage reduction factor of 10-100x compared to non-gated circuits by isolating the logic from the supply, minimizing subthreshold and leakage currents. In active mode, the voltage is given by V_{\text{drop}} = I \cdot R_{\text{on}}, where the on-resistance R_{\text{on}} is inversely proportional to the width (R_{\text{on}} \sim 1/W), typically limiting performance degradation to under 10% for properly sized switches. Optimization of switch sizing involves adaptive techniques tailored to circuit demands, often employing simulations to iterate on width and stack configurations for minimal IR drop and noise. For large circuit blocks, multi-stage switch architectures are used to limit wake-up current spikes exceeding 1 A, which can otherwise cause and supply instability during mode transitions. Transistor-level tuning is commonly performed using tools like Cadence Virtuoso, enabling precise modeling of device parameters in advanced nodes.

Overhead and Trade-offs

Power gating implementations introduce notable area overheads primarily due to the addition of sleep transistors and associated isolation cells, typically ranging from 5% to 15% of the total circuit area, with the overhead scaling inversely with the granularity of the gating—coarser designs exhibit lower relative costs. Fine-grained approaches, while offering precise control, can exacerbate this overhead by requiring more distributed switches, potentially reaching the upper end of this range in complex SoCs. Performance impacts arise during mode transitions, including wake-up latencies of 10 μs to 1 ms, which depend on the size of the power domain and the charging time through sleep transistors, delaying resumption of operations in time-sensitive applications. noise, caused by rapid discharge currents during wake-up, can induce voltage fluctuations up to hundreds of millivolts, potentially leading to functional failures or timing violations if not mitigated through stepwise activation techniques. Key trade-offs in power gating involve balancing energy savings against delay penalties; for instance, deeper sleep states yield higher leakage reduction (up to 99% in some cases) but increase transition energy costs and , making the technique most suitable for bursty workloads where periods exceed the time—typically several microseconds to milliseconds. In energy-constrained designs, this often results in a 10-20% performance degradation for a given power budget, particularly in fine-grained implementations. Verification poses significant challenges in multi-domain designs, where asynchronous power-up sequences across domains can lead to race conditions and issues, requiring extensive simulation and to ensure robust isolation and recovery. To mitigate these overheads, hybrid approaches combining power gating with dynamic voltage and (DVFS) allow finer energy-delay trade-offs by scaling voltage during active periods while gating idle blocks, reducing overall wake-up penalties and improving suitability for variable workloads without excessive area costs.

Applications and Advances

Integration in Modern SoCs

In contemporary system-on-chips (SoCs), power gating is extensively deployed to address escalating leakage currents in advanced process nodes, particularly in mobile platforms where battery life is paramount. For example, Qualcomm's Snapdragon series processors incorporate power gating mechanisms, enabling selective shutdown of idle components to minimize static power dissipation. This approach extends to modern iterations fabricated on 5 nm and 3 nm nodes in the , allowing individual CPU cores to enter low-power states during varying workloads using techniques like , thereby optimizing energy efficiency in heterogeneous architectures. Similarly, Apple's A-series processors, powering devices, employ hierarchical power gating across CPU and GPU clusters, where larger domains can be isolated at the cluster level while finer controls manage intra-cluster inactivity, supporting seamless transitions between performance peaks and idle modes. Emerging trends in design further integrate power gating with advanced packaging technologies, such as 3D ICs, where per-layer gating isolates stacked dies to curb inter-layer leakage and thermal hotspots. In these structures, power distribution networks are optimized to support granular shutdowns, reducing overall system power by targeting inactive vertical tiers without disrupting active computation layers. In accelerators, fine-grained power gating is leveraged to exploit sparsity patterns; for instance, Google's Tensor Processing Units (TPUs) inspire designs like ReGate, which dynamically gates hardware components during sparse operations, deactivating unused multiply-accumulate units to align power delivery with dataflow sparsity. Recent advances, such as ReGate introduced in 2025, enable up to 32.8% energy reduction in neural processing units through hardware-software co-design. The practical benefits of such integrations are evident in mobile SoCs, where power gating contributes to significant reductions in total power consumption under mixed workloads, primarily by slashing leakage in standby scenarios. This enables extended life in low-activity connected standby modes for smartphones and wearables. Looking ahead, as SoCs scale to sub-3 nm nodes with FinFET-to-gate-all-around (GAA) transitions, advanced power gating becomes essential to mitigate quantum tunneling leakage, which exacerbates subthreshold currents in ultra-thin channels; simulations indicate that GAA structures with integrated gating can maintain sub-10 μA/μm off-state currents despite these challenges.

Comparison with Other Power Management Techniques

Power gating primarily targets static leakage power by completely disconnecting the power supply to inactive circuit blocks, effectively turning them off, whereas focuses on reducing dynamic power consumption by halting clock signals to prevent unnecessary toggling in idle modules. This distinction makes suitable for short-term inactivity where switching activity is low but leakage persists, while power gating achieves near-zero leakage but incurs additional wake-up latency due to the time required to restore power and stabilize the circuit. The two techniques are frequently combined in digital designs to address both power components comprehensively, as their integration can yield synergistic reductions in total energy without excessive overhead. In contrast to dynamic voltage and frequency scaling (DVFS), which dynamically adjusts supply voltage and operating frequency to lower both dynamic and static power during active workloads, power gating is optimized for standby or idle states where circuits are not computing. DVFS provides fine-grained control for performance-critical tasks but offers limited benefits during prolonged inactivity, whereas power gating excels in deep sleep modes by eliminating leakage entirely. Hybrid approaches incorporating both in system-on-chips (SoCs) can achieve up to twice the energy savings in standby compared to DVFS alone, particularly for workloads with variable activity patterns. Body biasing, or adaptive threshold voltage tuning through substrate bias, mitigates leakage by elevating the threshold during low-activity phases without fully isolating the circuit, making it less disruptive for near-active operation but less effective for complete power cutoff. Power gating, by contrast, provides a more aggressive solution for deep sleep by severing the power connection, resulting in substantially higher leakage reduction—often orders of magnitude greater—though at the cost of state overhead. This positions body biasing as a complementary technique for active or light-sleep modes, while power gating is preferred for scenarios demanding maximal standby efficiency. The choice among these techniques depends on workload analysis, including activity profiles and idle durations; power gating is most advantageous for extended idle periods exceeding approximately 10 μs, where the energy overhead of activation is amortized by leakage savings, unlike clock gating or DVFS which suit shorter or active intervals.

References

  1. [1]
    Power Gating - Semiconductor Engineering
    With fine-grained power gating, power can be shut off to individual blocks or cells without shutting off the power to other blocks-which continue to operate.
  2. [2]
    Power gating: Circuits, design methodologies, and best practice for ...
    Power gating has become one of the most widely used circuit design techniques for reducing leakage current. Its concept is very simple.
  3. [3]
    Multi-threshold CMOS design for low power digital circuits
    Multi-threshold CMOS (MTCMOS) power gating is a design technique in which a power gating transistor is connected between the logic transistors and either ...
  4. [4]
    Minimization of Power Using the Power Gating Technique to Design ...
    Minimization of Power Using the Power Gating Technique to Design a Twisted ... The Multi-Threshold CMOS (MTCMOS) technique is a novel way to minimize ...
  5. [5]
  6. [6]
    [PDF] Power Gating with Multiple Sleep Modes - isqed
    Power gating results in a reduction in leakage because when the sleep transistor is off, the virtual ground rail charges up to a steady state value close to ...
  7. [7]
  8. [8]
    Intrinsic leakage in low power deep submicron CMOS ICs
    Transistor intrinsic leakage reduction as functions of bias point, temperature, source-well backbiasing, and lowered power supply (V/sub DD/) are reported.
  9. [9]
    Gated-Vdd | Proceedings of the 2000 international symposium on ...
    Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories. Authors: Michael Powell.
  10. [10]
    Managing leakage power at 90 nm and below - EDN Network
    Nov 5, 2004 · At 90 nanometers and below, leakage power management is essential in the ASIC design process. As voltages scale downward with the geometries ...
  11. [11]
    Intel's Transistor Technology Breakthrough Represents Biggest ...
    Jan 27, 2007 · Our implementation of novel high-k and metal gate transistors for our 45nm process technology will help Intel deliver even faster, more energy ...
  12. [12]
    Power Challenges At 10nm And Below - Semiconductor Engineering
    May 11, 2017 · Current density is becoming much more problematic at 10nm and beyond, increasing the amount of power management that needs to be incorporated into each chip.Missing: sub- | Show results with:sub-
  13. [13]
    Future Design Direction for SRAM Data Array: Hierarchical Subarray ...
    Jun 18, 2024 · In sub 10 nm nodes, the growing dominance of interconnects in chips poses challenges in designing large-size static random-access memory ...
  14. [14]
  15. [15]
    ReGate: Enabling Power Gating in Neural Processing Units
    Oct 17, 2025 · Our study with different generations of NPU chips reveals that 30%–72% of their energy consumption is contributed by static power dissipation, ...Missing: percentage | Show results with:percentage
  16. [16]
    [PDF] MOSFETs in ICs—Scaling, Leakage, and Other Topics
    Vt ROLL-OFF—SHORT-CHANNEL MOSFETS LEAK MORE​​ The previous section pointed out that Vt must not be set too low; otherwise, Ioff would be too large. The present ...
  17. [17]
    CMOS Leakage and Power Reduction in Transistors and Circuits
    This paper reviews the issues associated with transistor scaling and related solutions for leakage and power reduction in terms of topological design rules and ...
  18. [18]
    [PDF] Standby and Active Leakage Current Control and Minimization in ...
    The third part of the article presents techniques for active leakage control, including use of multiple-threshold cells, long channel devices, input vector ...
  19. [19]
    [PDF] Measurement and Analysis of Variability in CMOS circuits
    Aug 29, 2008 · The scaling of CMOS technology into the deep sub-micron regime has resulted in increased impact of process variability on circuits, to the ...
  20. [20]
    Power gating: Circuits, design methodologies, and best practice for ...
    Power Gating has become one of the most widely used circuit design techniques for reducing leakage current. Its concept is very simple, but its application ...
  21. [21]
  22. [22]
    A Comparative Analysis of Coarse-grain and Fine-grain Power ...
    Aug 7, 2025 · In our research, we found that power-gating can be employed to drastically reduce the leakage power dissipation in the SRAM. More leakage ...
  23. [23]
  24. [24]
    Design methodology for fine-grained leakage control in MTCMOS
    Multi-threshold CMOS is a popular technique for reducing standby leakage power with low delay overhead. MTCMOS designs typically use large sleep devices to ...
  25. [25]
    An effective power mode transition technique in MTCMOS circuits
    Leakage power reduction for deeply-scaled FinFET circuits operating in multiple voltage regimes using fine-grained gate-length biasing technique. DATE '15 ...Missing: seminal | Show results with:seminal
  26. [26]
    The challenges of implementing fine-grained power gating
    We present an extensive analysis of the impact of fine-grained power gating on the overall power consumption. ... Benefits and costs of power-gating technique. In ...Missing: drawbacks | Show results with:drawbacks
  27. [27]
    Routing track duplication with fine-grained power-gating for FPGA ...
    The low leakage interconnect via power-gating reduces total power by 38.18% for the FPGA in 100nm technology. Furthermore, it enables interconnect dynamic power ...Missing: drawbacks | Show results with:drawbacks
  28. [28]
  29. [29]
    Power Isolation - Semiconductor Engineering
    Isolation cells are placed between two power domains and are typically connected from domains powered off to domains that are still powered up. In some cases, ...
  30. [30]
    The Ultimate Guide to Power Gating - AnySilicon
    Power gating is a technique used to reduce ASIC and SoC power consumption by turning off parts of the design that are not being used or in inactive mode.
  31. [31]
    [PDF] Physical Design Methodology of Power Gating Circuits for Standard ...
    Jul 28, 2006 · 847–854, Aug. 1995. [2] S. Mutoh, S. Shigematsu, Y. Gotoh, and S. Konaka, “Design method of MTCMOS power switch for low-voltage high-speed.
  32. [32]
    Isolation cells and Level Shifter cells - VLSI Tutorials
    Isolation cells isolate wires between power domains, using AND/OR gates. Level shifters convert voltage levels in multi-voltage designs.
  33. [33]
    Implementation and verification practices of DVFS and power gating
    ... isolation cells completely by manual for each power domain. In this paper, we present an interface planning methodology, and take a DVFS and power gating ...
  34. [34]
    [PDF] Power Optimization in Design Compiler Datasheet - Synopsys
    Power Compiler takes UPF input and automatically inserts power management cells such as isolation, level-shifter, retention register, power gating and always-on ...
  35. [35]
    Power Gating Retention - Semiconductor Engineering
    State retention registers require two types of power supplies: a switchable power supply and an always-on power supply. This introduces some complications ...
  36. [36]
    Scalable sequence-constrained retention register minimization in ...
    Retention registers are utilized in power gating design to hold design state during power down and to allow safe and fast system reactivation.
  37. [37]
    [PDF] High Performance State Retention with Power Gating applied to ...
    ABSTRACT. Power management is of increasing concern and challenge to SOC and product designers [1], [2]. Power Gating (PG) is now well.
  38. [38]
    Retention cells - VLSI Tutorials
    Retention cells are sequential cells that can hold their internal state when the primary power supply is shut down and has the ability to restore the state ...
  39. [39]
    [PDF] Reliable State Retention-Based Embedded Processors ... - CORE
    It can be observed that the idle power is lowest for an unprotected system with retention voltage Vret = 0.5V when compared with hardware error correction and ...
  40. [40]
    [PDF] Sleep Transistor Sizing Using Timing Criticality and Temporal Currents
    Abstract— Power gating is a circuit technique that enables high performance and low power operation. One of the challenges in power gating is sizing the ...Missing: principles | Show results with:principles
  41. [41]
    [PDF] Sleep Transistor Sizing and Control for Resonant Supply Noise ...
    Aug 29, 2007 · Power gating is realized by sleep transistors which disconnect the power supply from the circuit when the chip is in idle mode [1]. The optimal.Missing: principles seminal
  42. [42]
    [PDF] Ultra-Low Power Design Approaches for IoT - Hot Chips
    ♢ power gating is much less effective (I on. /I off degradation). ♢ typical leakage reduction: 10-100X. ♢ NT: small leakage reduction, ST: no leakage reduction ...
  43. [43]
    [PDF] A Three-Step Power-Gating Turn-on Technique for Controlling ...
    Aug 20, 2010 · To suppress the ground bounce noise with a minimal wake-up time penalty, a three-step turn-on strategy and its corresponding power-gating ...
  44. [44]
    [PDF] Power Gating Design for Standard-Cell-Like-Structured ASICs
    In this paper, we apply power gating to structured. ASICs for leakage power reduction. We present a power-gated via-configurable logic block (PGVCLB) and a ...Missing: mobile | Show results with:mobile
  45. [45]
    A Survey on Power Gating Techniques in Low Power VLSI Design | Semantic Scholar
    ### Summary of Power Gating Techniques in Low Power VLSI Design
  46. [46]
  47. [47]
    [PDF] Ultra-low power design for iot sensors: energy harvesting and power ...
    Power gating implementation reduced sleep mode power ... wake-up latency ranging from 10 μs to 1 ms depending on the complexity of power-gated domains.
  48. [48]
    [PDF] Understanding and Minimizing Ground Bounce During Mode ...
    This paper investigates the ground bounce caused by large discharge current through a sleep transistor during the mode transition of the power gating structure.
  49. [49]
    [PDF] Comparative Study on Power Gating Techniques for Lower Power ...
    Aug 13, 2018 · The power gating is one of the most popular reduction leakage techniques. We make comparison among various power gating schemes in terms of ...
  50. [50]
    [PDF] When Clock, Power and Reset Domains Collide - DVCon Proceedings
    Multi-domain verification is the only way to ensure that all inter-domain issues are explored and verified with complete confidence. I. INTRODUCTION. Many new ...
  51. [51]
    [PDF] DarkGates: A Hybrid Power-Gating Architecture to Mitigate the ... - Ethz
    To keep the power consumption of the compute domain within its allocated power budget, the PMU applies DVFS to. 1) reduce the CPU cores' power consumption and 2 ...
  52. [52]
    [PDF] Qualcomm® Snapdragon™ 600 Processor APQ8064 Data Sheet
    Feb 10, 2016 · Low power features: ❒. Power gating within LPASS core. ❒. QDSP6 supports L2 cache data retention during power collapse. ❒. Supported modes ...
  53. [53]
    Qualcomm Oryon CPU | New custom Snapdragon CPU design
    The 2nd generation Qualcomm Oryon CPU brought improved speeds and efficiency with a new data prefetcher and clock gating techniques on a 3nm process node.
  54. [54]
    GateBleed: Exploiting On-Core Accelerator Power Gating for High ...
    Oct 17, 2025 · While power-gating does reduce power consumption, power-gating also incurs an extra measurable latency when waking up a powered-off component, ...Missing: series | Show results with:series
  55. [55]
    Power distribution network for a three-plane 3D IC with power gating,...
    For 3D systems, power gating is critical due to higher and heterogeneous integration where the amount of nonswitching circuits can be significantly high. Thus, ...Missing: per- | Show results with:per-
  56. [56]
    Performance Limit of Gate-All-Around S i Nanowire Field-Effect ...
    Nov 30, 2022 · In this paper, the performance limit of the GAA S i NWFET with a 1-nm diameter is investigated by utilizing ab initio quantum transport simulations.<|control11|><|separator|>
  57. [57]
    NS-GAAFET Compact Modeling: Technological Challenges in Sub ...
    In this work, we develop a NS-GAAFET compact model and we use it to link peculiar single-device parameters to digital circuit performance.
  58. [58]
  59. [59]
  60. [60]
    Comparison between power gating and DVFS from the viewpoint of energy efficiency
    **Summary of Abstract on Power Gating vs. DVFS Energy Efficiency:**
  61. [61]
  62. [62]
  63. [63]
  64. [64]