Fact-checked by Grok 2 weeks ago

POWER6

The POWER6 is a dual-core, (SMT) microprocessor developed by , introduced in mid-2007 as the successor to the , implementing the Power ISA version 2.05 and fabricated on a 65 nm silicon-on-insulator (SOI) process with 790 million transistors. designed the POWER6 to deliver significant improvements over its predecessor, doubling the clock to 4–5 GHz while enhancing for systems supporting up to 64 cores, and it was first deployed in enterprise servers such as the 570. Architecturally, each POWER6 chip features two high-frequency cores, each with a 64 KB instruction cache, 64 KB data cache, and 4 MB private L2 cache, complemented by a shared 32 MB off-chip L3 cache, integrated dual memory controllers supporting DDR2, and extensions for decimal floating-point and vector multimedia instructions to boost workload efficiency. Notable innovations include advanced power management with dynamic voltage and frequency scaling, a "nap" mode for idle threads reducing power by 30–35%, and robust reliability features such as instruction retry, error detection and recovery capable of handling thousands of transient errors, and processor sparing for fault tolerance in mission-critical environments. The processor powered IBM's Power Systems lineup, including models like the Power 520, 550, 570, and 595, enabling applications in technical computing, via PowerVM, and commercial workloads running AIX, , and , before being succeeded by the POWER7 in 2010.

Development and History

Announcement and Release Timeline

The development of the POWER6 processor began with initial silicon fabrication in mid-2005, utilizing IBM's 65 nm silicon-on-insulator (SOI) complementary metal-oxide-semiconductor (CMOS) process technology. This marked a significant advancement in the , succeeding the as the next iteration in IBM's processors. The first public technical description of POWER6 elements, including its execution units and clock distribution network, was presented by engineers at the International Solid-State Circuits Conference (ISSCC) in February 2006. These presentations highlighted early design achievements, such as testing that demonstrated clock speeds reaching up to 6 GHz in laboratory conditions. IBM officially announced the POWER6 processor on May 21, 2007, emphasizing its dual-core design capable of clock speeds up to 4.7 GHz. The commercial release followed shortly thereafter on June 8, 2007, with initial offerings supporting clock speeds of 3.5 GHz, 4.2 GHz, and 4.7 GHz for enterprise systems. In May 2008, IBM introduced an upgraded version of the POWER6 processor operating at 5.0 GHz, integrated into high-end configurations like the Power 595 server to enhance performance for demanding workloads. This upgrade extended the processor's viability in production environments through the late .

Design Goals and Innovations

The development of the was a key component of IBM's eCLipz project, which sought to converge the company's disparate server platforms—including the UNIX-based System p, the AS/400-derived System i, and the mainframe System z—onto a unified hardware architecture where feasible, thereby simplifying , , and software across environments. Central design goals for POWER6 included delivering superior performance per watt compared to its predecessor, the , through optimizations like aggressive and balanced subsystem frequencies, enabling more efficient operation in data centers; enhancing scalability to support up to 64-way () configurations with improved and via a fully connected for up to eight nodes; and bolstering capabilities with features such as dynamic mobility and enhanced PowerVM support for flexible and workload migration. Key innovations in POWER6 addressed power efficiency and computational versatility, notably by adopting a primarily in-order execution model for fixed-point instructions—contrasting with the out-of-order approach of prior designs—to reduce complexity, speculation overhead, and power draw while still dispatching up to seven instructions simultaneously in (SMT) mode; implementing dual voltage power supplies, with logic operating at 0.8–1.2 V and SRAM arrays at approximately 150 mV higher to optimize stability and performance without excessive energy use; and integrating ViVA-2 (Virtual Vector Architecture version 2), which enables multiple POWER6 nodes to function collectively as a single for workloads. POWER6 emphasized full compliance with the 64-bit version 2.05, incorporating extensions for vector multimedia processing via VMX () and, notably, the first hardware implementation of IEEE 754-2008 decimal floating-point arithmetic through a dedicated decimal floating-point unit, accelerating financial and commercial applications that previously relied on software emulation.

Core and Execution Units

The features a dual-core integrated on a single chip, with each core capable of executing instructions from two hardware threads simultaneously through its support for 2-way (). This architecture allows the core to dispatch up to seven instructions per cycle from both threads, enhancing throughput by dynamically allocating resources such as execution units and caches between threads while maintaining a balanced workload. The dual-core configuration contributes to the chip's overall scale, encompassing approximately 790 million transistors across a die size of 341 mm². At the heart of each POWER6 core is an in-order execution pipeline optimized for high clock frequencies, typically operating in the 4-5 GHz range depending on the implementation. The pipeline consists of 13 FO4 stages, enabling efficient instruction fetch, decode, dispatch, execute, and completion while minimizing latency for dependent operations compared to prior generations. This in-order approach simplifies the design for power efficiency and higher frequencies, with limited out-of-order capabilities introduced specifically for floating-point operations to improve scalar performance. The core implements the Power ISA version 2.05, which includes enhancements for book I (integer execution), book II (floating-point), and book III (vector and decimal floating-point) instructions. The execution units within each core are tailored to handle a mix of scalar, vector, and decimal workloads efficiently. There are two integer (fixed-point) execution units for arithmetic and logical operations, two binary floating-point units with a six-stage pipeline for high-precision computations, one AltiVec vector multimedia extension (VMX) unit supporting 128-bit SIMD operations for vector processing, and one dedicated decimal floating-point unit to accelerate financial and decimal arithmetic tasks. These units, combined with SMT, allow the core to sustain high instruction-level parallelism, particularly in threaded applications where multiple streams can utilize idle resources. The ViVA-2 extensions in Power ISA v.2.05 further enhance the VMX unit with improved vector instructions and integrate decimal floating-point support, enabling seamless handling of both binary and decimal data formats without mode switches. Each core includes private level-1 (L1) caches to support low-latency access during execution: a 64 KB four-way set-associative instruction and a 64 KB eight-way set-associative data , both with 128-byte line sizes. These L1 caches are designed to feed the in-order rapidly, with the instruction optimized for branch prediction and prefetching to maintain high fetch rates in SMT mode. The tight integration of these caches with the execution units ensures that the core can achieve balanced performance across diverse workloads, from enterprise computing to scientific simulations.

Cache Hierarchy and Memory Subsystem

The POWER6 processor implements a multi-level optimized for low-latency data access in environments. Each core includes a split Level 1 (L1) : a 64 KB four-way set-associative instruction and a 64 KB eight-way set-associative data , both with 128-byte line sizes and protection for error detection. The L1 data operates in store-through mode to maintain with higher levels. The Level 2 (L2) is private to each at 4 MB, configured as eight-way set-associative with 128-byte lines and error-correcting code () protection for single-bit error correction and double-bit detection. Operating at half the core clock frequency, the L2 employs a store-through policy from L1 and pseudo-least-recently-used (LRU) replacement, supporting dynamic line deletion for —up to six lines per cache before persistent deconfiguration. The Level 3 (L3) totals 32 MB and is shared across the two per , implemented as off-chip embedded () chips in a 16-way set-associative with 128-byte lines and protection. Functioning as a victim for L2 evictions, it operates at one-quarter core frequency with a write-through policy and replacement, enabling bandwidth up to 80 GB/s per dual-core module. In multi-chip modules (MCMs), configurations support up to two L3 chips to extend capacity while maintaining . Hardware-assisted scrubbing and dynamic deletion of up to 14 lines enhance reliability by addressing uncorrectable errors. The memory subsystem features two integrated DDR2 SDRAM controllers per chip, each with four channels supporting fully buffered dual in-line memory modules (DIMMs) at speeds of 400 MHz, 533 MHz, or 667 MHz. This design delivers peak read bandwidth of 51.2 GB/s and write bandwidth of 25.6 GB/s across the controllers, with ECC on data, addresses, and commands for error detection and correction. DIMM capacities range from 1 GB to 16 GB, enabling system memory up to 256 GB per dual-core chip or 4 TB in larger configurations, paired with features like Chipkill for tolerating full DRAM chip failures, redundant bit steering, and periodic scrubbing to preempt soft errors. The subsystem supports up to 10 logical partitions per core through dedicated memory allocation in the POWER Hypervisor, promoting efficient virtualization. Foundational support for secure memory partition keys, which isolate partitions via hardware encryption, originated in POWER6 and was enhanced in the POWER6+ variant for improved workload security.

Interconnect and System Integration

The POWER6 processor employs a scalable symmetric multiprocessor (SMP) interconnect fabric that supports configurations up to 64-way systems, utilizing a nonblocking broadcast transport mechanism with scope-limited broadcasts to optimize coherence traffic at chip or node levels. This fabric enables high-bandwidth chip-to-chip communication, with each processor providing 50 GB/s of SMP interconnect bandwidth for linking to other chips in multi-processor setups. The interconnect consists of five off-chip SMP interfaces per chip, each 8 bytes wide and operating at half the core frequency, with three interfaces dedicated to intra-node connectivity and two to inter-node links for broader system scalability. System integration in POWER6 leverages multi-chip modules (MCMs) to enhance density and performance, where up to four dual-core POWER6 processors can be housed within a single node MCM, interconnected via the fabric. Off-chip L3 cache chips, implemented as specialized modules, connect to each POWER6 chip through full-width interfaces running at half the processor frequency, providing up to 32 MB of shared L3 cache per chip while allowing flexible configurations from entry-level to high-end servers. Virtualization capabilities in POWER6 facilitate dense environments through support for logical partitioning, where processors can be allocated in increments of 0.1 processing units, enabling up to 10 logical partitions per physical core to share resources efficiently across multiple operating systems. This granular allocation, combined with enhanced coherence protocols in the interconnect, allows for seamless resource distribution and high utilization in consolidated workloads. Power management in POWER6 incorporates dual power supplies to optimize , with a core logic supply operating in the 0.8-to-1.2 V range and a separate SRAM supply approximately 150 mV higher, reducing leakage and improving overall energy use in the and subsystems. Additional features include dynamic achieving over 50% and pipeline throttling, which adaptively adjust power based on workload demands without compromising interconnect performance.

Variants and Enhancements

POWER6+

The POWER6+ represents a refined of the POWER6 processor, officially announced by in April 2009 while having begun shipping in systems such as the Power 560 and Power 570 as early as October 2008. This variant builds directly on the foundational dual-core, design of the POWER6 to deliver incremental performance and reliability gains targeted at enterprise environments. A primary enhancement in the POWER6+ is the introduction of secure memory partition keys, a technology adapted from IBM's zSeries mainframe processors to bolster security. This feature expands the available keys from eight in the POWER6 (seven for use and one for ) to a total of 16 (eight , seven , and one ), enabling finer-grained isolation that helps prevent accidental overwrites and reduces the risk of application crashes in multi-partition setups. By assigning unique keys to partitions, the system enforces stricter access controls, enhancing overall system resilience in consolidated workloads. Clock speeds were upgraded in the POWER6+, with standard offerings reaching 5.0 GHz in configurations like the Power 550 ; POWER6 ranged from 3.5–5.0 GHz but commonly at lower speeds in entry-level systems, other variants operate at 4.7 GHz, providing up to 19% better single-threaded in key workloads. Additionally, each dual-core incorporates 32 MB of L3 (64 MB total in quad-core setups), consistent with the POWER6 configuration, which improves data locality and reduces latency for compute-intensive tasks. Fabrication for the POWER6+ remains on the same 65 nm SOI as the POWER6, preserving the 341 mm² die size while incorporating minor optimizations to enhance manufacturing yields and power efficiency without altering the core transistor count of 790 million. These tweaks, including refined techniques, allowed for higher-volume production and slight reductions in thermal output, supporting denser integrations without requiring a full node shrink. Support for POWER6 and POWER6+ systems ended on March 31, 2019.

Specialized Configurations

The POWER6 processor supported specialized high-density configurations optimized for environments, most notably in the IBM Power 575 supercomputing node. This system employed advanced water-cooling technology to enable dense packing of up to 448 POWER6 cores within a single frame, utilizing 14 compute nodes each containing 32 cores operating at 4.7 GHz. The water-cooling infrastructure, which circulated chilled water through integrated manifolds directly to the processor modules, allowed for over five times the performance density of its air-cooled predecessors while maintaining in compute-intensive workloads. POWER6 implementations also featured flexible multi-chip module (MCM) packaging options to accommodate varying scalability needs across enterprise applications. In entry-level and systems, a single-chip integrated the dual-core POWER6 with an on-chip 32 MB L3 cache, providing balanced performance for standard server tasks. For high-end configurations, such as those in the Power 595, an MCM combined up to four dual-core POWER6 chips with four 32 MB L3 cache chips (one per chip)—enhancing bandwidth and coherence for up to 64 cores per . This modular approach allowed system designers to scale cache hierarchy and interconnect fabric dynamically, optimizing for larger environments without redesigning the core silicon. Under the eCLipz initiative, POWER6 processors were integrated into converged hardware platforms to unify IBM's System p, System i, and System z architectures where feasible, enabling shared core logic and peripherals across UNIX, i5/OS, and environments. This facilitated hybrid workloads by standardizing I/O interfaces and memory controllers, reducing development costs for multi-OS compatibility in enterprise data centers.

Products and Implementations

Enterprise Server Systems

The IBM System p Express series encompassed rack-mounted servers designed for small to medium-sized enterprises, leveraging POWER6 processors to deliver scalable performance for entry-level and mid-range workloads. The Power 520 (machine type model 8203-E4A) supported configurations with one or two sockets, enabling up to four cores at frequencies of 4.2 GHz (POWER6) or 4.7 GHz (POWER6+), and was targeted at workgroup applications such as , serving, and hosting, with support for up to 64 of DDR2 and via PowerVM. The Power 550 (8204-E8A) extended this with one to four sockets, accommodating up to eight cores at speeds from 3.5 GHz to 5.0 GHz, and up to 256 of , making it suitable for more demanding enterprise tasks like database operations and high-availability setups. The Power 560 (8234-EMA) offered further scalability with up to four sockets across one or two central electronics complex (CEC) enclosures, supporting 4 to 16 cores at 3.6 GHz, and was optimized for mid-sized database and application servers requiring robust reliability and up to 384 of . In contrast, the Enterprise series addressed larger-scale deployments with multi-socket architectures built around POWER6. The Power 570 (9117-MMA) featured a scalable from one to four enclosures, with up to 16 sockets and cores at frequencies including 4.2 GHz and 5.0 GHz, supporting up to 768 of DDR2 and extensive I/O expansion for mission-critical applications in and e-business. The Power 595 (9119-FHA), IBM's flagship , scaled to sockets across up to eight processor books, delivering up to 64 cores at 4.2 GHz or 5.0 GHz, with a maximum of 4 TB of and advanced features like hot-node addition for uninterrupted growth in demanding environments. Later revisions of these models incorporated POWER6+ processors for enhanced and performance. These enterprise server systems were prominently deployed in UNIX-based (HPC) environments, particularly running AIX, where they facilitated workload consolidation, , and tasks such as scientific simulations and large-scale data analytics, often integrated with clustering for interconnectivity. Their support for AIX 5.3 and 6.1, combined with PowerVM technologies, enabled dynamic logical partitioning and , optimizing resource utilization in data centers focused on reliability and scalability.

Blade and High-Density Servers

The JS series represented a key line of servers leveraging POWER6 processors for high-density environments, enabling efficient resource utilization in data centers through modular, space-saving designs. These blades were engineered to support and workload consolidation, fitting into standard BladeCenter such as the H, S, or HT models, which could accommodate up to 14 JS12/JS22/JS23 blades or 7 JS43 blades per chassis for dense deployments. The BladeCenter JS12 Express featured a single dual-core POWER6 running at 3.8 GHz, providing up to 2 cores with SIMD support, 64 GB of DDR2 memory, and dual ports, making it suitable for entry-level, space-efficient applications like on AIX, , or . In contrast, the JS22 Express utilized two dual-core POWER6 processors at 4.0 GHz for up to 4 cores, with 32 GB DDR2 memory and integrated SAS storage options up to 292 GB, optimized for mid-range clustered workloads requiring higher parallelism in compact form factors. Advancing further, the JS23 Express supported up to two dual-core POWER6 processors at 4.0–4.2 GHz, delivering up to 4 cores with 64 GB DDR2 memory and a single SAS/SSD drive, while the high-performance JS43 Express accommodated up to four quad-core POWER6 (or POWER6+) processors at 4.2 GHz for up to 16 cores, 128 GB DDR2 memory, and 0/1 support on up to four drives, ideal for demanding, dense enterprise tasks like databases and HPC. These configurations emphasized within shared infrastructure, including shared power, cooling, and networking via Integrated Virtual Ethernet and expansion cards for or . For extreme high-density parallelism, the Power 575 supercomputing node employed water-cooled POWER6 technology to pack 32 cores per 2U node at 4.7 GHz, enabling up to 448 cores across 14 nodes in a single frame with 3.5 TB total memory. This design utilized cold plates over the cores and a rear-door to manage thermal loads in ultra-dense setups, reducing heat emissions by up to 80% compared to air-cooled systems and supporting scalable clusters for computationally intensive tasks such as modeling and . The Power 575's architecture facilitated massive parallelism in a footprint-efficient frame, achieving over 8 TFLOPS per frame for deployments focused on high-throughput processing.

Technical Specifications

Performance Characteristics

The POWER6 operates at clock speeds ranging from 3.6 GHz to 4.7 GHz in its base configuration, with the POWER6+ variant extending up to 5.0 GHz to enhance in demanding environments. These frequencies represent a significant increase over prior generations, enabling higher instruction throughput while maintaining compatibility with enterprise applications. Each POWER6 chip features dual cores, each supporting 2-way simultaneous multithreading (SMT), which allows up to four threads to execute concurrently per chip. This design improves resource utilization by interleaving instructions from multiple threads, boosting overall throughput in multithreaded workloads without requiring additional hardware. In enterprise benchmarks, such as Commercial Processing Workload (CPW), POWER6 delivers a 20–30% performance uplift over the POWER5+ in typical business applications, attributed to architectural optimizations and higher clock rates. For instance, a 4.2 GHz dual-core configuration achieves approximately 8,300 CPW, compared to 7,100 CPW for equivalent POWER5+ systems. POWER6 supports () scalability up to 32 processors (chips), enabling configurations with as many as 64 cores in a single system. This is facilitated by high-bandwidth inter-node links that connect multiple chips in a coherent fabric, allowing efficient shared-memory operations across large-scale enterprise deployments.

Power and Fabrication Details

The POWER6 microprocessor was fabricated using IBM's 65 nm partially-depleted silicon-on-insulator (SOI) process, which incorporated dual stress liners and 10 levels of low-k interconnect to enhance while minimizing leakage. This advanced manufacturing approach allowed for high density on a compact die. The integrates 790 million transistors across a die area of 341 mm², enabling dual-core functionality with extensive on-chip caching without excessive physical footprint. The supply design features dual voltage rails tailored for efficiency: core logic operates at 0.75–1.3 V (nominal 1.1 V), arrays at 0.9–1.45 V (nominal 1.25 V for critical sections), and I/O interfaces at 1.8 V, optimizing energy use across different circuit types. To achieve low per performance, the POWER6 employs an in-order execution pipeline that avoids the high dynamic demands of deep out-of-order pipelining, coupled with per-chip voltage to fine-tune operation for specific targets. These features, supported by the EnergyScale architecture's dynamic voltage and adjustments, reduce thermal output in dense configurations such as servers, enabling consumption below 100 W in low-demand scenarios while managing heat in high-density environments. Clock speeds up to 5 GHz directly influence draw, but the design prioritizes scalability for varied workloads.

References

  1. [1]
    IBM's Power6: Bigger iron, lower power - CNET
    Feb 12, 2007 · The first Power6 systems, lower-end models, are due to arrive midway through 2007. That's somewhat later than Big Blue predicted: in 2004, IBM ...
  2. [2]
    CPU to ISA Version Mapping - The Linux Kernel documentation
    Power7. Power ISA v2.06 ; Power6. Power ISA v2.05 ; PA6T. Power ISA v2.04 ; Cell PPU. Power ISA v2.02 with some minor exceptions. Plus Altivec/VMX ~= 2.03.
  3. [3]
    IBM Inks In End Of Support For Power6 And Power7 Iron - IT Jungle
    Sep 25, 2017 · The Power6 machines date from 2007, the Power6+ came in 2008, Power7 was launched in 2010, and Power7+ debuted in 2012. (IBM tends to do ...
  4. [4]
    [PDF] IBM POWER6 Processor and Systems IBM POWER6 Fault-Tolerant ...
    The IBM POWER6 is a RISC architecture based on POWER5, using 65-nm SOI, operating at 4+ GHz, with 13-FO4 design, and operates at twice the frequency of Power5.Missing: specifications | Show results with:specifications
  5. [5]
    [PDF] IBM Power 520 and Power 550 (POWER6) System Builder
    The IBM Power 520 and 550 (POWER6) System Builder delivers IBM POWER6 scalability and energy efficiency to small and medium businesses, and includes PowerVM ...
  6. [6]
    [PDF] IBM Power 570 and IBM Power 595 (POWER6) System Builder
    This edition applies to AIX releases 5.3 and 6.1, IBM i 5.4 with Licensed Machine Code V5R4M5 and. IBM i 6.1, and Linux systems whose release levels and service ...
  7. [7]
    Power-constrained high-frequency circuits for the IBM POWER6 ...
    The IBM POWER6™ microprocessor is a high-frequency (>5-G Hz) microprocessor fabricated in the IBM 65-nm silicon-on-insulator (SOI) complementary metal-oxide ...
  8. [8]
    (PDF) IBM power6 microarchitecture - ResearchGate
    Oct 23, 2025 · This paper describes the implementation of the IBM POWER6™ microprocessor, a two-way simultaneous multithreaded (SMT) dual-core chip whose key ...Missing: specifications | Show results with:specifications
  9. [9]
  10. [10]
    IBM thumbs nose at heat concerns, kicks Power6 to 6GHz
    Feb 7, 2006 · The Power6 chip will run between 4GHz and 5GHz, and has been shown to hum away at 6GHz in the lab. IBM reckons that some process technology ...Missing: prototype | Show results with:prototype
  11. [11]
    IBM introduces Power6 chip - CNET
    May 21, 2007 8:43 a.m. PT. IBM on Monday introduced its Power6 microprocessors and a new generation of Unix-based servers to run on the chip.Missing: announcement | Show results with:announcement
  12. [12]
    IBM i History and Timeline | Fortra
    Feb 19, 2024 · RELEASE DATE, END OF SUPPORT DATE. Power6, June 2007, March 2019. Power7, February 2010, September 2019. Power8, June 2014, Still supported.
  13. [13]
    IBM smacks rivals with 5.0GHz Power6 beast - The Register
    The Power 595, available on May 6, relies on a series of four-socket "books" or boards. You can fill a system with between one and eight boards, ...
  14. [14]
    The 64-Core Power6-Based Power 595 Starts to Roll in May
    Apr 14, 2008 · Going full-out to 64 cores for the 5 GHz version would therefore kick up the price on the base machine to $2.51 million. And that is without ...
  15. [15]
    An eCLipz Looms on the Horizon - Real World Tech
    Dec 19, 2005 · The POWER6 is also referred to as part of the eCLipz project, an acronym that stands for “enhanced Core logic for iSeries, pSeries and z Series” ...Missing: goals | Show results with:goals
  16. [16]
    The Summer's First Blockbuster: IBM Unveils POWER6 -- Enterprise ...
    POWER6 is the first deliverable in IBM's ambitious eCLipz project—an effort to consolidate all of Big Blue's non-x86 server platforms on to a single, common ...
  17. [17]
    [PDF] IBM PowerVM Virtualization Introduction and Configuration
    This IBM® Redbooks® publication provides an introduction to IBM PowerVM® virtualization technologies on Power System servers. PowerVM is a combination of ...Missing: watt | Show results with:watt
  18. [18]
    [PDF] Design and Implementation of the POWER6 Microprocessor
    One of the biggest challenges in achieving the high frequency was the SRAM design, which normally lags behind the other cir- cuits. A dual-power rail technique ...
  19. [19]
    [PDF] The POWER of 6 - IBM
    POWER6 processor-based p570 systems will be able to add an additional CEC enclosure (node) to their p570 system, without powering down the system (hot- node add) ...Missing: specifications | Show results with:specifications
  20. [20]
    [PDF] IBM Power 595 Technical Overview and Introduction
    The Power 595 memory subsystem consists of L1, L2, and L3 caches along with the main ... Memory and cache arrays comprise data bit lines that feed into a memory ...
  21. [21]
    [PDF] IBM Power 550 Technical Overview
    May 1, 2009 · The IBM Power 550 model 8204-E8A supports AIX, IBM i, and Linux, uses POWER6 processor, and includes AIX 5.3, AIX 6.1, IBM i 5.4 and 6.1.
  22. [22]
    IBM Uncloaks Power6 Chip Details - IT Jungle
    Oct 16, 2006 · The Power6 core has 64 KB of L1 instruction cache, 64 KB of L1 data cache, two integer execution units, two floating point units, and a branch ...
  23. [23]
  24. [24]
    IBM POWER6 SRAM arrays - ResearchGate
    Aug 9, 2025 · An 8Kb domino read SRAM with hit logic and parity checker, fabricated in a 65nm SOI CMOS technology (Leobandung, 2005), is described. A key ...
  25. [25]
    IBM Launches Power6+ Servers-Again - IT Jungle
    Apr 27, 2009 · These are not new servers, but ones that were announced in April 2008; the Power 520 used 4.2 GHz Power6 chips and offered machines with one, ...Missing: enhancements | Show results with:enhancements
  26. [26]
    IBM slips Power6+ into racks, blades - The Register
    Apr 28, 2009 · In addition to the slightly faster clock speed, the Power6+ packaging used this time around has 32 MB of L3 cache in the processor package.<|control11|><|separator|>
  27. [27]
    [PDF] IBM Power Systems
    POWER6+ Memory Keys: >Adds 8 new memory keys. ▫ Helps prevent accidental memory over-writes that could cause critical applications to crash.
  28. [28]
    [PDF] IBM Power 520 Technical Overview
    May 1, 2009 · The IBM Power 520 8203-E4A supports AIX, i, and Linux, uses a POWER6 processor, and includes AIX 5.3/6.1, and i 5.4/6.1.<|control11|><|separator|>
  29. [29]
    [PDF] IBM Power 575 supercomputing node - Spectra
    Densely packing up to 448 POWER6™ processor cores per frame, each one running at 4.7 GHz with innovative cooling features, the 32-core. Page 2. Power 575 ...
  30. [30]
    IBM Chills Out with New Power6 Supercomputer - HPCwire
    Apr 11, 2008 · The 575 is dense, very dense in fact. One rack can hold 14 2U nodes, each with 32 4.7 GHz cores of Power6, and up to 256 GB of memory per node.
  31. [31]
    Model 9118-575 server specifications - IBM
    Jun 17, 2014 · Server specifications provide detailed information for your server, including dimensions, electrical, power, temperature, environment, and ...
  32. [32]
    Fall Processor Forum 2006: IBM's POWER6 - Real World Tech
    Oct 16, 2006 · Each POWER6 MPU is implemented as a two way CMP design, integrating two simultaneous multithreaded processors along with private per-core L2 ...
  33. [33]
    [PDF] IBM Power 560 Express eases management challenges through its ...
    The new IBM Power 560 Express with POWER6 processors is a 4- to 16-core SMP, rack- mounted server. The new POWER6 processors in this server are 64-bit features.
  34. [34]
    [PDF] HPC Clusters Using InfiniBand on IBM Power Systems Servers
    QLogic, SilverStorm, InfiniBand Fabric Suite, Fabric Manager, Fabric Executive, and the QLogic logo are ... eight POWER6 cores, in two, four, six, or eight POWER6 ...
  35. [35]
    [PDF] IBM BladeCenter JS23 and JS43 Implementation Guide
    This guide covers installation for IBM AIX, IBM i, and Linux, Live Partition Mobility, AMS, IVM, and power management for JS23 and JS43.
  36. [36]
    [PDF] IBM BladeCenter JS12 and JS22 Implementation Guide
    This guide covers installation for IBM AIX, IBM i, and Linux, supports PowerVM, and applies to IBM BladeCenter JS12 and JS22, and specific versions of each.Missing: JS23 JS43
  37. [37]
  38. [38]
    (PDF) Energyscale for IBM POWER6 microprocessor-based systems
    Aug 9, 2025 · A voltage conversion framework that relies on a hierarchy of off-chip switching regulators and on-chip linear regulators is proposed to enable ...Abstract · References (10) · Recommended Publications