Fact-checked by Grok 2 weeks ago

Ring oscillator

A ring oscillator is an electronic oscillator circuit comprising an odd number of inverters or gain stages connected in a closed loop, where the output of the final stage feeds back to the input of the first, satisfying the Barkhausen criteria for sustained oscillations through positive feedback and a total phase shift of 360 degrees. The circuit generates a periodic square-wave output whose frequency is determined by the propagation delay of the stages, typically expressed as f = \frac{1}{2 N \tau_d}, where N is the number of stages and \tau_d is the delay per stage. Ring oscillators trace their origins to the vacuum-tube era, with early patents such as Gallay's 1953 design for pulse generation using ring-configured multivibrators. In modern integrated circuits, CMOS-based ring oscillators emerged in communication systems during the late , evolving from simple delay chains to more sophisticated differential and voltage-controlled variants. These circuits have become staples in design due to their simplicity and integrability, often implemented in standard logic processes without requiring inductors or resonators found in oscillators. Key characteristics of ring oscillators include a wide tuning range—often spanning decades through supply voltage or current control—a compact layout suitable for on-chip integration, and the ability to produce multiple phase outputs (e.g., quadrature signals at 90-degree intervals). They exhibit relatively high compared to types, with figures of merit around -47 /Hz at 1 MHz offset for basic inverter chains, and show varying sensitivity to supply voltage (K_VDD up to 50 GHz/V in single-ended designs). configurations mitigate noise rejection issues, offering improved performance in jitter-sensitive applications. Ring oscillators find extensive use in phase-locked loops (PLLs) as voltage-controlled oscillators (VCOs), clock generation for digital systems, and process variation monitoring in testing. Additional applications include true generators leveraging , low-power DC-DC converters, and reliability monitors under accelerated aging conditions. Their advantages in power efficiency and ease of design make them ideal for battery-operated and high-integration scenarios, though they are less suited for high-frequency, low-noise RF applications where alternatives like LC tanks prevail.

Fundamentals

Definition

A ring oscillator is an electronic circuit consisting of an odd number of inverting amplifiers, such as CMOS inverters or NOT gates, connected in a closed-loop configuration to produce periodic oscillations without the need for external components like inductors or capacitors. This setup relies on the inherent delay and inversion properties of the amplifiers to sustain self-generated waveforms. The requirement for an odd number of stages is critical to ensure the loop's and continuous ; each inverting stage introduces a 180-degree shift, resulting in a total phase shift of an odd multiple of 180 degrees around the loop, which meets the Barkhausen criterion for . In contrast, an even number of stages would provide a total phase shift of 360 degrees, leading to a bistable state rather than . In its simplest form, the circuit uses logic gates like inverters powered by a supply, with oscillations initiated by a brief or transient. Ring oscillators are commonly employed in integrated circuits for generating on-chip clock signals, providing multiple phases for timing and in systems.

History

The concept of the ring oscillator emerged during the vacuum-tube era in the mid-20th century, as part of early efforts in and . Influenced by foundational work on multivibrators and trigger circuits from the and , such as the Eccles-Jordan bistable circuit, engineers explored closed-loop configurations for generating oscillations in logic systems. A key milestone was the U.S. by Harris A. Gallay (US2642526), which detailed a practical ring oscillator using nine vacuum tubes arranged in a loop to produce stable oscillations for applications like signal generation. The transition to integrated circuits in the 1960s and 1970s marked a significant evolution, as enabled the of ring oscillators for on-chip use. These circuits were adopted early in MOS IC development for their simplicity, self-starting nature, and utility in generating compact clocks and monitoring fabrication process variations. By the 1970s, ring oscillators played a role in characterizing performance in pioneering microprocessors amid the shift from discrete components. The 1980s brought further refinement with the widespread adoption of complementary MOS () processes, which improved power efficiency and integration density for ring oscillators. Early CMOS implementations appeared in communication systems, such as phase-locked loops, with notable designs presented at the 1988 IEEE International Solid-State Circuits Conference demonstrating voltage-controlled ring oscillators for . By the 2020s, ring oscillators have advanced into beyond-CMOS paradigms to address scaling limits. In FinFET technologies at nodes like 14 nm, they serve as critical monitors for frequency degradation and reliability under stress, as evidenced by wafer-level studies in 2024 IEEE conferences. Concurrently, (CNT)-based ring oscillators have enabled higher speeds and lower power, with a 2020 Peking University demonstration achieving over 8 GHz operation and 2022 designs using double-gate CNTFETs pushing toward potential for future .

Circuit Design

Basic Configuration

The basic configuration of a ring oscillator consists of an odd number of inverters connected in series, with the output of the last inverter fed back to the input of the first, forming a closed that sustains oscillations through cumulative inversion. This simplest form requires an odd number of stages—typically three, five, or more—to prevent stable states and enable self-sustaining , assuming the inverting function of each stage as defined earlier. In modern implementations, complementary metal-oxide-semiconductor () inverters serve as the primary delay elements, leveraging their high gain and low power characteristics for applications. Each inverter introduces a propagation delay that contributes to the overall loop timing, with the path closing the without additional components in the minimal setup. To incorporate enable and disable functionality, one stage—often the first—is replaced by a two-input , where one input receives the prior stage's output and the other accepts an enable signal. When the enable signal is logic high, the behaves as a standard inverter, permitting ; when low, it drives the output high, halting the ring and setting all subsequent stages to a stable state. The schematic of this configuration can be textually depicted as a linear chain looping back: Enable → NAND (Stage 1) → Inverter (Stage 2) → ... → Inverter (Stage N, odd N) → back to NAND input, where the propagation delay of each gate is the key factor enabling the loop's oscillatory behavior.

Types

Ring oscillators can be categorized into several variants based on their architectural modifications, each tailored to address specific performance requirements such as noise immunity, power efficiency, or multi-output generation. Single-ended ring oscillators form the foundational type, comprising an odd-numbered chain of inverters looped back to the input, which ensures sustained through inherent signal inversion. Their primary advantage lies in , requiring minimal components and facilitating straightforward in standard processes for cost-effective designs. However, this configuration is prone to susceptibility from supply and noise due to its unbalanced , limiting its efficacy in noisy environments. Differential ring oscillators address noise limitations by employing pairs of complementary inverters that produce balanced outputs, effectively rejecting common-mode through in the signal paths. This design enhances robustness against , making it particularly suitable for high-speed radio-frequency (RF) applications where phase integrity is critical. While more complex than single-ended variants, the trade-off yields superior signal quality in demanding scenarios. Additional variants extend functionality beyond basic oscillation. Multi-phase ring oscillators, such as those generating three or more evenly spaced phases, incorporate delay stages optimized for phase alignment, enabling precise clock distribution in synchronous systems. Current-controlled ring oscillators integrate current-steering mechanisms into the delay cells, allowing tuning proportional to an input current for applications like current-to- analog-to-digital conversion. Sub-threshold ring oscillators bias transistors below their to minimize power draw, often employing techniques like self-cascoded body biasing for stable operation in energy-harvesting nodes. The following table provides a concise comparison of key variants, highlighting representative configurations and applications:
VariantExample Stage CountOutput TypeTypical Use Cases
Single-ended3 or 5 (odd)Single-endedProcess variation testing, basic clocks
Differential4 (even)DifferentialHigh-speed RF, noise-sensitive
Multi-phase3 phasesMultiple phasesClock distribution networks
Current-controlled3–5Single-endedFrequency converters, ADCs
Sub-threshold3Single-endedUltra-low-power sensors

Operation

Mechanism

A ring oscillator operates based on the inherent propagation delay of each inverting gate in the loop, which arises from the time required for the signal to charge or discharge the load through the transistor's output . This delay, typically on the order of picoseconds in modern processes, is essential for the circuit's dynamic behavior and ensures that the feedback signal does not immediately resolve to a stable state. Consider an where the output of one inverter in the odd-numbered chain is biased high, while the others adjust accordingly due to the inverting nature of the stages. As the high signal propagates through the subsequent inverters, each stage inverts the and introduces a delay, resulting in an inverted signal returning to the first inverter after traversing the odd number of stages. This creates a logical , as the returned low signal attempts to drive the originally high output low, destabilizing the and initiating . Once oscillation begins, the signal circulates continuously around the ring, with each gate's delay causing sequential state flips that propagate as alternating high and low levels. This process generates a periodic square-wave output at the nodes, where the full corresponds to the signal traveling the twice—once for the rising-to-falling and once for the reverse. The waveforms exhibit a near-50% when rise and fall delays are symmetric, appearing as inverted and time-shifted versions at each stage, with a shift of $180^\circ / n per stage for n stages.

Frequency Calculation

The oscillation frequency of a ring oscillator is fundamentally determined by the number of delay stages and the propagation delay through each stage. For a basic ring oscillator composed of N identical inverter stages, where N is an integer greater than or equal to 3, the frequency f is expressed as f = \frac{1}{2 N \tau} where \tau represents the average propagation delay per inverter stage. This equation assumes an ideal, symmetric delay for rising and falling transitions. The derivation arises from the periodic nature of the circuit. In a ring oscillator, the output of the last stage feeds back to the input of the first, creating a closed that sustains due to the odd number of inversions. A complete requires the signal to propagate through the entire twice: once to transition from (inverting the state) and once to from . Each full through the N stages incurs a total delay of N \tau, so the period T of one is $2 N \tau. Consequently, the frequency is the reciprocal of the period, yielding f = 1/(2 N \tau). This model highlights the inverse scaling with N; for instance, doubling the number of stages halves the frequency, as the total delay increases proportionally. The propagation delay \tau is influenced by several environmental and fabrication factors, which in turn affect the overall frequency. Temperature variations alter carrier mobility and threshold voltages in the transistors, typically causing frequency to decrease as temperature rises; for example, measurements in 45 nm CMOS show a roughly 10% frequency drop from 20°C to 90°C. Supply voltage directly impacts drive current and thus \tau, with frequency increasing nonlinearly as voltage rises—often by about 80% from 0.8 V to 1.1 V in similar processes. Process variations, such as gate length fluctuations, oxide thickness inconsistencies, and doping nonuniformities, introduce systematic and random shifts in \tau, leading to frequency spreads of up to 6% within a chip due to random dopant effects. These sensitivities underscore the need for design margins in applications sensitive to timing. In practice, the oscillation frequency serves as a direct, non-invasive probe for characterizing gate delay in semiconductor processes. By measuring f and rearranging the equation to \tau = 1/(2 N f), engineers can extract \tau for process monitoring, enabling assessment of fabrication quality and variability across wafers. This technique is widely employed in integrated circuit development to validate technology nodes and calibrate models.

Performance Characteristics

Jitter

In ring oscillators, refers to the cycle-to-cycle variation in the oscillation period, primarily arising from in active devices, fluctuations in the power supply voltage, and process-induced mismatches among transistors. introduces random perturbations in the drain currents of CMOS inverters, leading to timing deviations, while supply fluctuations cause correlated shifts across all stages due to voltage-dependent delays. Process mismatches, such as variations in voltages or lengths, create asymmetries in rising and falling delays, further contributing to period instability. Jitter in ring oscillators is classified into random jitter, driven by uncorrelated sources like thermal , and deterministic jitter, stemming from predictable influences such as power supply-induced variations. Random jitter follows a Gaussian and accumulates over cycles, whereas deterministic jitter manifests as bounded deviations, often periodic with supply . The root-mean-square (RMS) jitter \sigma_t can be approximated as \sigma_t = \frac{\Delta V_n}{\frac{\partial V_{out}}{\partial t}}, where \Delta V_n represents the voltage and \frac{\partial V_{out}}{\partial t} is the at the output transition; this highlights how faster transitions mitigate the impact of on timing. To reduce jitter, designers often increase the number of stages in the ring, which lowers the RMS impulse sensitivity function (ISF) by a factor proportional to $1/\sqrt{N} (where N is the stage count), thereby decreasing the relative contribution of noise per cycle. ring oscillator architectures further improve performance by rejecting common-mode supply and enabling even-stage configurations that balance edge symmetries, resulting in improved compared to single-ended designs. Jitter is quantified using methods like , which analyzes the variance of phase differences over successive intervals to distinguish (linear growth), (quadratic), and quantization components, or through spectrum measurements that convert frequency-domain to time-domain via . is particularly effective for ring oscillators, as it reveals type dependencies—e.g., dominates at short averaging times—requiring high sampling rates (at least 2000 times the oscillator frequency) for accuracy. spectra, measured with spectrum analyzers, provide a complementary view by relating single-sideband to , aiding in validation against theoretical models.

Power and Noise

Ring oscillators exhibit power consumption dominated by dynamic effects due to continuous switching of their inverter stages. The dynamic power P is given by P = C V^2 f, where C represents the total load across the stages, V is the supply voltage, and f is the oscillation frequency. In advanced processes with significant leakage, static power arises from subthreshold and gate leakage currents, contributing P_{\text{static}} = V \cdot I_{\text{leak}}, which becomes comparable to dynamic power at low frequencies or in sub-100 nm nodes. Noise in ring oscillators primarily stems from supply and ground bounce, where voltage fluctuations on power rails couple into the oscillation nodes via parasitic inductances and capacitances, and from substrate coupling, which transmits noise through the silicon bulk between adjacent circuits. These effects degrade , particularly in densely integrated systems. An approximation for the single-sideband spectral density \mathcal{L}(\Delta f) in the $1/f^2 region for ring oscillators, capturing thermal noise upconversion, is \mathcal{L}(\Delta f) = 10 \log \left( \frac{\Gamma_{\mathrm{rms}}^2 kT}{P_s} \cdot \frac{f_0^2}{N \Delta f^2} \right), where \Gamma_{\mathrm{rms}} is the impulse sensitivity function, N is the number of stages, and other terms are as before. Design trade-offs in ring oscillators balance power and noise: increasing frequency f linearly raises dynamic power but can diminish the relative impact of noise, as phase noise density scales inversely with f_0^2, potentially improving overall signal-to-noise ratio in bandwidth-limited applications. For low-power variants suited to Internet-of-Things (IoT) devices, sub-threshold operation biases transistors below their threshold voltage, enabling nano-watt consumption while maintaining oscillation; for example, a 2021 design achieved 18.8 fJ per cycle at 0.5 V supply and 560 kHz frequency. As of 2025, further advancements have reported efficiencies around 0.63 nW/kHz (equivalent to approximately 777 fJ/cycle) at 0.35 V and 810 Hz.

Applications

Integrated Circuits

Ring oscillators serve as essential components in integrated circuits (ICs) for on-chip clock generation, particularly in microprocessors and systems-on-chip (SoCs). They function as startup oscillators, providing an initial before phase-locked loops (PLLs) achieve lock, enabling rapid system initialization without relying on external references. This role is prominent in all-digital PLL architectures, where the ring oscillator acts as the (DCO), starting immediately upon power-up to support early-stage operations in SoCs. In IC design, ring oscillators are widely employed for delay measurement and process characterization, notably through the fan-out-of-4 (FO4) inverter delay . This normalizes process variations and operating conditions by deriving the delay of a driving four identical gates from ring oscillator frequency measurements, offering a technology-independent for circuit speed. For instance, in advanced processes, FO4 delays derived from ring oscillators help quantify gate delays, typically around 4-6 ps in sub-10 nm nodes, as reported in predictive models for FinFET technologies, aiding designers in predicting performance across fabrication variations. In modern at 5 nm and beyond, ring oscillators facilitate adaptive voltage scaling (AVS) by monitoring on-chip speed variations in , allowing dynamic adjustment of supply voltages to optimize power efficiency while maintaining reliability. For example, in ARMv9-based multicore designs on 5 nm es, digitally controlled ring oscillators enable voltage margin reduction and full-bandwidth protection against variations. Similarly, and leverage ring oscillators in their 5 nm and 7 nm nodes for monitoring and in SoCs, supporting energy-efficient operation in data centers and mobile applications up to 2025. These implementations highlight ring oscillators' integration in AVS schemes to counteract , voltage, and temperature () effects. A key advantage of ring oscillators in is their area efficiency, occupying minimal footprint—often less than 0.01 mm² for multi-stage designs—compared to LC-based oscillators that require inductors. Additionally, they eliminate the need for external passive components like or capacitors, enabling fully on-chip and simplifying for high-volume SoCs. This compactness and self-contained nature make them ideal for scaled technologies, where die area directly impacts cost and yield.

Testing and Calibration

Ring oscillators serve as essential diagnostic tools in semiconductor manufacturing for process monitoring, where their oscillation frequency provides a direct metric for evaluating wafer-level variability and process uniformity. In fabrication facilities such as those operated by and , test chips incorporating ring oscillators are deployed across wafers to measure local and global variations in delay, , and interconnect performance, enabling real-time adjustments to process parameters like and . This approach has been particularly valuable at advanced nodes, such as 45 nm and below, where parameter-specific ring oscillator designs isolate effects from individual process steps, facilitating precise tuning and variability control in technologies. For device calibration, voltage-controlled ring oscillators (VCROs) are integrated into systems requiring stable timing, such as sensors, to compensate for environmental factors like fluctuations. These VCROs adjust their bias currents or supply voltages to maintain consistent output, with temperature compensation circuits—often based on proportional-to-absolute-temperature (PTAT) elements—reducing to thermal drift by up to 50% in low-power implementations. Such designs are common in sensing applications, where the oscillator's tunability ensures reliable operation across a wide range without external references. Recent advancements have leveraged to enhance ring oscillator-based analysis for yield prediction, particularly in FinFET variability studies. Post-2020 research has explored techniques using ring oscillator data to model process-induced mismatches, such as variations, to forecast yield in high-density and logic circuits at sub-7 nm nodes, accelerating fab optimization. In , ring oscillators are employed to verify functionality in integrated circuits (), where stacked dies connected via through-silicon vias (TSVs) are tested for inter-layer delays and defects by measuring shifts under load. This supports at-speed testing and reliability in heterogeneous stacks, detecting anomalies like TSV opens or shorts with high . Similarly, in beyond- prototypes, ring oscillators benchmark emerging devices, such as negative capacitance FETs and spin-based logic, by quantifying energy-delay products in GHz-range operations, as outlined in the 2022 IEEE IRDS Beyond CMOS report.

References

  1. [1]
    [PDF] The Ring Oscillator [A Circuit for All Seasons]
    Nov 18, 2019 · CMOS ring oscillators began to ap- pear in communication circuits in the late 1980s [2], [3]. In this article, we study single-ended and ...
  2. [2]
  3. [3]
  4. [4]
    [PDF] CMOS Design and Performance Analysis of Ring Oscillator for ...
    Feb 5, 2016 · Abstract- A ring oscillator is a circuit which consists of an odd number of inverter stages, where the output of each stage of the ring ...
  5. [5]
  6. [6]
    [PDF] MODELING AND DESIGN OF RING OSCILLATORS AND THEIR ...
    ... ring oscillator is defined as: di = thighi. T. (5.17). All ring oscillator designs make use of inverters that are exactly the same, then all tpdri and tpdfi ...
  7. [7]
    1971: Microprocessor Integrates CPU Function onto a Single Chip
    Silicon-gate process technology and design advances squeeze computer central processing units (CPU) onto single chips.
  8. [8]
    Extremely High Frequency and Low Power Ring Oscillators Using ...
    Mar 11, 2022 · In this paper, several ring oscillators based on double gate carbon nanotube field effect transistors (DG-CNTFETs) are presented.
  9. [9]
    The Ring Oscillator [A Circuit for All Seasons]
    **Summary of Ring Oscillator Structure (CMOS, Simplest Form):**
  10. [10]
    Generic Model for Multi-Phase Ring Oscillators
    **Summary of Multi-Phase Ring Oscillators from https://ieeexplore.ieee.org/document/8351777:**
  11. [11]
  12. [12]
    A Self cascoded body biasing technique for ultra-low-power sub-threshold ring oscillator
    **Summary of Sub-Threshold Ring Oscillators from IEEE Document (9744168):**
  13. [13]
    [PDF] Jitter and Phase Noise in Ring Oscillators - SMIrC Lab
    They are used as voltage-controlled oscillators (VCO's) in applications such as clock recovery circuits for serial data communications [1]–[4], disk-drive read.
  14. [14]
    Ring Oscillator Revisited - EDN Network
    Feb 24, 2024 · A ring oscillator is a circuit composed of an odd number of inverters. An inverter takes an input and outputs the opposite value.
  15. [15]
    [PDF] Lecture 8: Combinational Circuit Design - University of Texas at Austin
    Sep 25, 2018 · ▫ Estimate the frequency of an N-stage ring oscillator. Logical ... Frequency: fosc = 1/(2*N*d) = 1/4N. Recall that the signal has to ...
  16. [16]
    [PDF] Logical Effort Part B - University of Notre Dame
    ❑ Estimate the frequency of an N-stage ring oscillator. – N odd. Each Stage ... Overall Frequency: fosc = 1/(2Nτ). 31 stage ring oscillator. • 65nm ...
  17. [17]
    Lab 10 - Rose-Hulman
    Derive an equation that describes the oscillation frequency of the ring oscillator in terms of tP and the number of inverters N. Explain how this equation ...
  18. [18]
    [PDF] Design and Measurement of Parameter-Specific Ring Oscillators
    Dec 16, 2010 · Electronic monitoring utilizing process-specific Ring Oscillators (RO) is explored as a means of identifying, quantifying, and modeling ...
  19. [19]
    None
    ### Summary: Measuring Jitter in Ring Oscillators Using Allan Variance and Phase Noise Spectrum
  20. [20]
    [PDF] Oscillator Phase Noise - Ali M. Niknejad's Research Homepage
    Phase Noise Expression. L(∆ω) = 10 log". 2kT. Psig · ω0. 2Q∆ω. 2#. Notice that only half of the noise is attributed to phase noise. This is due to a non ...Missing: ring P_s ) Δf)^
  21. [21]
    [PDF] Analysis and Design of Low-Phase-Noise Ring Oscillators - CECS
    There is direct trade-off between the power consumption and the oscillator phase noise performance. It is desirable to minimize the phase noise for a given ...
  22. [22]
    [PDF] Clock Generators for SOC Processors, Circuits and Architectures
    where i is summed until the PLL is in lock-in range. Note that for each ... ring oscillator is usually operated in a phase-locked loop. This technique.
  23. [23]
    (PDF) All-Digital PLL array provides reliable distributed clock for SOCs
    The DCO is a three stage, static inverter based ring oscillator programmable in 768 frequency steps. The ADPLL lock range is 500 MHz to 8 GHz at 1.3 V and ...
  24. [24]
    [PDF] The Fanout-of-4 Inverter Delay Metric
    The fanout-of-4 inverter (FO4) delay metric uses the delay of a fanout-of-4 inverter to normalize process and operating condition variations.
  25. [25]
    [PDF] Energy-Efficient System Design Through Adaptive Voltage Scaling
    Dec 1, 2019 · Improving the energy efficiency of processor systems-on-chip (SoCs) is key to improving the performance and utility of thermally-limited ...
  26. [26]
    Adaptive voltage scaling in multicore ARMv9 5G chip ...
    Feb 25, 2022 · ... 5nm 5G ... To achieve full-bandwidth protection and voltage margin reduction, a digitally controlled Ring Oscillator ...
  27. [27]
    TSMC Unveils Details of 5nm CMOS Production Technology ...
    Feb 5, 2020 · This 5nm technology is a full node scaling from 7nm using smart scaling ... Stress aging data at 0.96 V and 125C on the 5nm FOM ring oscillator ...
  28. [28]
    [DOC] ELEC 5270 Ring Oscillator paper FINAL.docx - Auburn University
    A very basic schematic of a ring oscillator can be seen below. Fig. 1: 3 ... The configuration of the five ring oscillator was displayed in figure 4 in section 2.
  29. [29]
    Ring oscillators for CMOS process tuning and variability control
    **Summary of Ring Oscillators for CMOS Process Monitoring and Variability Control**
  30. [30]
    Table of contents
    Insufficient relevant content. The provided URL (https://ieeexplore.ieee.org/document/5617633) only contains a table of contents and metadata for an IEEE conference publication, with no accessible full text or specific details about a "parameter-specific ring oscillator for process monitoring at 45nm node" or its uses in semiconductor fabs.
  31. [31]
    A temperature compensated CMOS ring oscillator for wireless sensing applications
    **Summary of Temperature Compensated CMOS Ring Oscillator for Sensors:**
  32. [32]
  33. [33]
    Design-for-Test Solutions for 3-D Integrated Circuits
    **Summary of Ring Oscillator for Testing in 3D ICs and Post-Silicon Validation:**
  34. [34]
    2022 IRDS Beyond CMOS
    Mar 26, 2020 · ... oscillator system in today's foundry process; a large network of ring oscillators was recently demonstrated that solves combinatorial.