UltraTech Cement Limited is an Indian multinational company headquartered in Mumbai, Maharashtra, and the flagship cement enterprise of the Aditya Birla Group. It is India's largest producer of grey cement, white cement, and ready-mix concrete (RMC), as well as one of the leading manufacturers of building products and solutions. With a consolidated grey cement production capacity of 192.26 million tonnes per annum (MTPA), UltraTech ranks as the second-largest cement company globally outside China by capacity and the largest by sales volume in that category. In FY2025, it achieved 135.83 Mta in grey cement sales, becoming the largest globally outside China by sales volume.[1][2][3][4]The company's roots trace back to the mid-1980s, when the Aditya Birla Group entered the cement industry through Grasim Industries, establishing plants such as Vikram Cement and Rajashree Cement, which were later merged in the late 1990s to form a combined capacity of 8.5 MTPA. In 2004, UltraTech acquired the cement division of Larsen & Toubro (L&T), significantly expanding its operations to 18.9 MTPA and marking its emergence as a major player. Subsequent strategic acquisitions, including Jaypee Group's cement assets in 2017 (adding 21.2 MTPA), Binani Cement in 2018, and Century Textiles' cement business in 2019 (pushing capacity beyond 100 MTPA for the first time outside China), have driven its growth to become India's top cement producer. In 2025, it completed the acquisition of Kesoram Industries' cement unit, further bolstering its portfolio.[3][5]UltraTech's product portfolio includes ordinary Portland cement, Portland slag cement, Portland Pozzolana cement, white cement under the Birla White brand, ready-mix concrete through its UTC division, and specialized building solutions like waterproofing compounds and admixtures. Primarily operating in India with over 30 integrated plants, grinding units, and RMC facilities across the country, it also maintains international presence through subsidiaries in the UAE (via Star Cement), Bahrain, and Sri Lanka. The company emphasizes sustainability, being the first in India to issue dollar-denominated sustainability-linked bonds and committing to the Global Cement and Concrete Association's Net Zero Concrete Roadmap, with targets aligned to Science Based Targets initiative (SBTi) for decarbonization. As of 2025, UltraTech continues to invest heavily in capacity expansion, aiming to exceed 200 MTPA by fiscal year 2026 and further expand to 240.76 MTPA through recent capex commitments, organic growth, and further acquisitions, as announced in October 2025.[1][5][6][7][8]
Overview
Company Profile
Ultratech Stepper, Inc. was founded in 1979 by Leo de Bos in Santa Clara, California, initially specializing in photolithography equipment for the semiconductor industry.[9] The company emerged as a key player in providing stepper systems that advanced the precision required for integrated circuit fabrication.[9]Over time, Ultratech evolved from a focused stepper manufacturer into a broader supplier of lithography, laser-processing, and inspection systems tailored for semiconductor and nanotechnology applications.[10] Its technologies have supported critical advancements in chip manufacturing, contributing to the sustained progress of Moore's Law by enabling finer feature sizes and higher densities in devices.[11] Ultratech's core markets include front-end semiconductor manufacturing, back-end assembly processes, and nanotechnology innovations.[10] As of 2025, Ultratech's technologies continue to be utilized by Veeco, including in recent orders for lithography systems supporting advanced packaging and silicon photonics, amid Veeco's announced merger with Axcelis Technologies in October 2025.[12]In May 2017, Veeco Instruments Inc. completed the acquisition of Ultratech for approximately $862.3 million, establishing it as a wholly-owned subsidiary integrated into Veeco's portfolio of semiconductor equipment solutions.[11] This merger enhanced Veeco's capabilities in advanced packaging and laser annealing, allowing Ultratech's systems to operate within a larger ecosystem of process control and deposition technologies.[11]
Industry Role and Market Position
Ultratech has played a pivotal role in the semiconductor ecosystem by providing specialized photolithography equipment that enables the production of advanced integrated circuits (ICs), light-emitting diodes (LEDs), and nanotechnology devices in fabrication plants (fabs). Its systems support critical industry trends such as device miniaturization and high-volume manufacturing, allowing fabs to achieve finer feature sizes and higher densities essential for modern electronics.[11][13]In advanced packaging lithography, Ultratech established leadership by 2004, capturing over 85% market share for applications including flip-chip and copper pillar bumping, which are vital for enhancing chip performance and interconnectivity. This dominance stemmed from its high-precision step-and-repeat photolithography systems, such as the Saturn and Titan steppers, which offered competitive advantages through reduced manufacturing costs and improved yields in high-volume production environments.[14][13][15]Following its 2017 acquisition by Veeco, Ultratech's integration has broadened its contributions to semiconductor processing, combining its lithography expertise with Veeco's ion beam and metal-organic chemical vapor deposition (MOCVD) technologies to address a wider range of advanced packaging needs. This synergy has positioned the combined entity as a key supplier in the growing advanced packaging market, where Veeco holds a significant share alongside competitors like Canon and EV Group.[10][16]
History
Founding and Early Development (1979–1989)
Ultratech Stepper, Inc. was established in 1979 by Leo de Bos in Santa Clara, California, to meet the burgeoning demand for advanced photolithography tools in semiconductor production, where precise pattern transfer onto silicon wafers was becoming critical for integrated circuit fabrication.[9][17] The company emerged from the older Ultratech Corp. and quickly prioritized the development of step-and-repeat aligners based on 1X projection lithography, utilizing innovative mechanical designs by Martin Lee and optical systems by Ron Hershel.[18] This approach offered a simpler, more affordable alternative to the reduction projection systems dominating the market, enabling cost-effective scaling for mid-range production needs without the complexity of demagnification optics.[18] By focusing on 1:1 imaging, Ultratech positioned itself as the world's oldest independent stepper company, emphasizing reliability and accessibility for emerging semiconductor fabricators.[19]Initial research and development efforts were bolstered by funding from parent company Xynetics-Electroglas, which supported operations at Santa Clara facilities dedicated to prototyping and testing early lithography systems.[20] These investments enabled the introduction of Ultratech's first 1X stepper in 1982, with the Model 900 projection system achieving significant early adoption—over 100 units shipped to Intel for integrated circuit manufacturing at 1- to 2-micron feature sizes.[18] The step-and-repeat mechanism allowed for precise, repeatable exposure of wafer fields, proving particularly suited for the production demands of the early 1980s, where high-throughput tools were essential for advancing device densities.[14]During this period, Ultratech faced substantial challenges from the semiconductor industry's cyclical downturns, particularly the severe recession of 1980–1982, which led to reduced capital spending and overcapacity across the sector.[21] To navigate these economic pressures and intense competition from established players like Nikon and Canon, the company emphasized tool reliability and performance optimization for 1-micron geometries, ensuring consistent overlay accuracy and minimal downtime in fabrication environments.[20] This strategic focus on robust, user-friendly systems helped sustain growth amid market volatility, culminating in a leadership transition to Arthur W. Zafiropoulo in 1990.[20]
Expansion and Public Listing (1990–1999)
In 1990, Arthur W. Zafiropoulo was appointed as president and CEO of Ultratech Stepper, Inc., bringing extensive experience from his prior role leading the Semiconductor Equipment Group at General Signal Corporation.[22] Under his leadership, the company focused on stabilizing operations and advancing its lithography technologies amid the evolving demands of semiconductormanufacturing. Zafiropoulo's strategic vision emphasized innovation in photolithography systems, setting the stage for Ultratech's transition from a niche player to a more established equipment supplier.By 1993, Zafiropoulo orchestrated a management buyout of Ultratech from its venture investors, enabling greater operational independence and alignment with long-term growth objectives.[22] This buyout was followed later that year by the company's initial public offering (IPO) on the Nasdaq stock exchange under the ticker symbol UTEK, which raised essential capital specifically earmarked for research and development in advanced lithography processes.[22] The IPO marked a pivotal financial milestone, providing resources to enhance product development and expand market reach during a period of rapid technological advancement in the semiconductor industry.During the mid-to-late 1990s, Ultratech launched key product lines, including the Saturn wafer stepper series in 1997, designed to achieve sub-micron feature resolutions critical for DRAM and logic chip production.[23] This series offered improved alignment and throughput capabilities, positioning Ultratech competitively in mix-and-match lithography applications. The company also began international expansion, establishing sales channels in Asia and Europe to tap into growing semiconductor markets; international sales accounted for approximately 65% of revenues in fiscal 1997, rising to around 60% by 1999. Overall, revenues grew substantially from about $10 million in the early 1990s to over $100 million by 1999, reflecting successful market penetration and product adoption.[22]
Growth, Challenges, and Innovations (2000–2009)
During the early 2000s, Ultratech expanded its product portfolio with the introduction of the Titan series steppers, such as the Titan 4700 model, which supported advanced lithography applications suitable for 90nm process nodes in semiconductor manufacturing and facilitated entry into emerging nanotechnology and LED markets.[24] This development built on the company's 1X stepper technology, enabling precise patterning for advanced packaging and optoelectronic devices amid growing demand for smaller feature sizes.[25]The period was marked by significant revenue volatility tied to semiconductor industry cycles. Following the dot-com bust, Ultratech's net sales plummeted to $68.5 million in 2002, roughly half the $130.7 million recorded in 2001, as excess capacity and reduced capital spending by chipmakers led to widespread contraction.[26] By 2006, however, revenues rebounded to $119.6 million, propelled by strong demand for advanced packaging systems like the AP300 on the Unity Platform, which processed over 2 million wafers and secured more than 80% market share in that segment.[27] These peaks reflected recovery in front-end and back-end semiconductor applications, though ongoing economic pressures, including delivery delays and softer demand in Q4 2006, contributed to a net loss of $9 million that year.[27]A key innovation during this decade was Ultratech's advancement in laser thermal processing, exemplified by the 2004 shipment of the Laser Processing (LP) system and the LSA100 laser spike anneal tool, which enabled millisecond-scale annealing of silicon wafers to activate dopants and reduce resistance while minimizing thermal damage to underlying structures.[27] This technology improved transistor performance in advanced nodes like 65nm and below, with five systems accepted by customers in 2006 alone, driving a 104% increase in semiconductor system sales to $82.7 million.[27] To counter cycle volatility, Ultratech strategically pivoted toward high-brightness LEDs (HBLEDs) and MEMS devices, acquiring IBM's thermal processing patents in 2008 and launching the Sapphire 100 stepper in 2009 specifically for HBLED production on large substrates, positioning the company as a leader in nanotechnology applications.[28] This shift diversified revenue streams, with international sales reaching 72% of total net sales by 2009 despite a 27% drop to $95.8 million amid the global recession.[28]
Acquisition and Integration (2010–Present)
In February 2017, Veeco Instruments Inc. announced its agreement to acquire Ultratech Inc. for approximately $862.3 million in a cash-and-stock transaction, aiming to leverage synergies between Ultratech's lithography and laser processing technologies and Veeco's ion beam and MOCVD systems to strengthen its position in the advanced packaging market.[10][29] The acquisition was completed on May 26, 2017, resulting in Ultratech's delisting from the Nasdaq stock exchange, with former Ultratech shareholders receiving $21.75 per share in cash and 0.2675 shares of Veeco common stock.[11][30]Following the acquisition, Ultratech's product lines were integrated into Veeco's semiconductor process control portfolio, enhancing offerings for advanced packaging applications such as redistribution layers and through-silicon vias, while targeting $15 million in annualized synergies through operational efficiencies within 24 months.[11][31] Ultratech continued to operate as a division within Veeco, focusing on lithography tools that support process control for leading-edge semiconductor nodes below 5nm and power electronics, including contributions to heterogeneous integration for high-performance computing.[32]By 2025, Veeco's integration of Ultratech technologies had evolved to emphasize AI-driven chip manufacturing, with Ultratech-derived lithography systems playing a key role in expansions for gallium nitride-on-silicon (GaN-on-Si) devices used in power electronics and RF applications.[32][33] This period saw Veeco report $165.9 million in revenue for the third quarter of 2025, reflecting sustained demand for these integrated solutions amid growth in advanced packaging for AI and automotive sectors.[34]
Corporate Structure
Headquarters and Facilities
Ultratech's primary headquarters is located in San Jose, California, at 3050 Zanker Road, following a relocation from its original base in Santa Clara where the company was founded in 1979.[35][9] This facility houses key research and development (R&D), manufacturing, and administrative functions, spanning approximately 177,000 square feet across two leased buildings as of the mid-2000s, with ongoing operations supporting the design and assembly of photolithography systems.[27]The San Jose site includes cleanroom environments essential for manufacturing activities, enabling the assembly of stepper systems compatible with up to 300mm wafer processing to meet advanced semiconductor requirements.[15][36] Following Veeco's acquisition of Ultratech in 2017 for $862.3 million, operations integrated with Veeco's corporate headquarters in Plainview, New York, while retaining San Jose as the dedicated hub for lithography production and related support.[11][37]These U.S.-based facilities form the core of Ultratech's operational infrastructure, with brief references to global subsidiaries providing localized customer support.[38]
Global Operations and Subsidiaries
Ultratech maintained a robust international presence through several key subsidiaries and offices, primarily focused on supporting the semiconductor industry in high-growth regions. Notable subsidiaries included Ultratech Kabushiki Kaisha in Tokyo, Japan, for sales and technical support in the Japanesemarket; Ultratech Korea Holdings LLC in Seoul, South Korea, handling regional distribution and service; and Ultratech SE Asia PTE Ltd. in Singapore, which served as the international operations headquarters for Southeast Asia. Additional subsidiaries encompassed Ultratech (Shanghai) Co. Ltd. in Shanghai, China, dedicated to the Chinese market; Ultratech GmbH in Germany for European operations; and Ultratech Thailand Co. Ltd. in Thailand. Offices were also established in Hsinchu, Taiwan, to provide application support near major fabrication facilities; as well as in the UK, France, and the Philippines to facilitate sales, training, and logistics across Europe and Southeast Asia.[39][40][41]These subsidiaries played a critical role in local sales, service, and application support, particularly for Asian fabrication plants, which generated over 70% of Ultratech's revenue by the mid-2010s due to the region's dominance in semiconductor manufacturing. For instance, in 2015, Asia accounted for 70% of total net sales, rising to 79% in 2016 amid surging demand for advanced lithography and laser annealing equipment in Greater China and other Asian hubs. International teams emphasized customer training programs and efficient spares logistics to minimize downtime in high-volume production environments, ensuring rapid response times for equipment maintenance and process optimization.[15]Following Veeco's acquisition of Ultratech in 2017, operations were integrated and coordinated with Veeco's established global network, which enhanced service capabilities in Europe and Asia by combining Ultratech's lithography expertise with Veeco's broader thin-film processing portfolio to offer more comprehensive integrated solutions. Pre-acquisition, Ultratech employed approximately 312 full-time employees worldwide, with international teams comprising a significant portion dedicated to customertraining and spares logistics in key Asian markets. These global extensions complemented R&D efforts at the U.S. headquarters by providing real-time field data for product refinement. As of October 2025, Veeco announced an all-stock merger with Axcelis Technologies, expected to close in the second half of 2026, which may further evolve the integrated operations.[11][42][43]
Products and Technologies
Photolithography Systems
Ultratech's photolithography systems primarily consist of step-and-repeat steppers designed for high-precision patterning in semiconductor manufacturing. The Saturn series, introduced in the 1990s, targeted process nodes from 0.35 µm to 0.25 µm, utilizing broadband i-line illumination (355–375 nm) with a 1X Wynne-Dyson lens design to achieve resolutions down to 0.65 µm and alignments as precise as 80 nm.[23] These systems supported throughputs up to 100 wafers per hour, making them suitable for high-volume production of integrated circuits.[23]The Titan series, developed in the 2000s, advanced capabilities for demanding applications in advanced packaging and thick-film lithography, employing broadband gh-line illumination (390–450 nm) and a numerical aperture of 0.32.[44] Featuring site-by-site alignmentprecision of 0.12 µm (3σ) and global overlay accuracy around 0.25 µm (3σ), the Titan steppers handled 200 mm and 300 mm wafers, enabling efficient exposure over large fields with irradiance levels up to 1200 mW/cm².[44] This broadband approach prioritized high-throughput exposure while maintaining compatibility with mix-and-match strategies in fabrication flows.In advanced packaging, Ultratech's systems, such as the AP300 series, facilitate wafer-level optics and redistribution layers essential for flip-chip and copper pillar technologies, achieving alignment accuracies below 2 µm to support fine-pitch interconnects.[45][46] Built on the Unity Platform with a 0.16 NA Wynne-Dyson lens, these tools use broadband wavelengths (350–450 nm) to pattern photosensitive materials for fan-out and through-silicon via applications, ensuring superior overlay and sidewall profiles.[47][48]Ultratech's photolithography systems integrate with proprietary Laser Spike Anneal (LSA) processes for post-exposure thermal management, minimizing stress-induced overlay errors in subsequent patterning steps.[49] These systems find applications in specialized front-end patterning for power and compound semiconductors, as well as back-end processes for advanced packaging and 3D IC stacking, including microbump formation to enable heterogeneous integration.[23][50] Complementary laser tools enhance overall workflow efficiency in these environments.[51]
Laser Processing and Inspection Equipment
Ultratech's laser thermal processing technologies, now integrated into Veeco's portfolio following the 2017 acquisition, center on the LSA100 series of laser spike anneal (LSA) systems designed for precise, millisecond-scale annealing of dopants in silicon wafers.[11] These systems employ a scanning CO2 laser beam to deliver rapid, uniform heating, typically reaching peak temperatures of 1050–1350°C with dwell times of 0.2–2 milliseconds, enabling high dopant activation while minimizing thermal diffusion and broadening of implant profiles.[52] The LSA100, introduced in the early 2000s, features closed-loop temperature control and low-stress processing to ensure within-wafer uniformity better than ±0.5% across 300 mm substrates, supporting advanced logic device fabrication from the 65 nm node onward.In parallel, Ultratech developed inspection tools tailored for advanced packaging metrology, exemplified by the AP300 lithography system equipped with dual-side alignment (DSA) capabilities that incorporate optical interferometry for precise measurements.[47] The AP300's metrology suite measures critical features such as bump height and alignment with sub-micron resolution (down to 0.5 µm overlay accuracy), using coherent gradient sensing (CGS)-inspired interferometric techniques to assess 3Dtopography on patterned wafers, including copper pillars and fan-out structures.[53] This enables in-line verification of wafer-level packaging integrity, with throughput exceeding 100 wafers per hour, addressing challenges in high-density interconnects for applications like high-bandwidth memory (HBM).Hybrid systems from Ultratech combine laser spike annealing with integrated inspection for enhanced in-line process control, particularly in HBM production workflows where post-anneal metrology ensures dopant uniformity and structural alignment. These configurations leverage the LSA platform's scanning architecture alongside CGS-based inspection to provide real-time feedback, reducing defects in 3D-stacked memory stacks by monitoring thermal effects and feature coplanarity during hybrid bonding steps.[54]The evolution of these technologies spans from the 2000s, when the LSA100 series was first commercialized for initial dopantactivation in sub-100 nm nodes, to 2010s advancements like the dual-beam LSA101 for improved low-temperature processing and pattern-dependent uniformity.[55] Under Veeco since 2017, enhancements in the 2020s have focused on scalability for EUV-compatible workflows, incorporating ambient control (LSA201) and complementary nanosecond annealing systems (NSA) to support gate-all-around transistors and beyond-3 nm logic without compromising thermal budgets.[56] As of 2024, Veeco's LSA201 systems, derived from Ultratech technology, have been adopted for high-volume production of 2 nm GAA logic chips.[57] These systems are often deployed alongside photolithography tools to complete full fabrication flows in leading foundries.[58]
Financial Performance
Revenue and Profit Trends
Ultratech's revenue experienced significant volatility during the early 2000s, reflecting the cyclical nature of the semiconductor industry. In 2002, amid a severe downturn, annual revenue fell to $68.5 million, nearly half the $130.7 million recorded in 2001.[26] The company reported net losses of $25.4 million in 2002 and $17.8 million in 2001, attributed to reduced demand and operational challenges during the market slump.[59]By the mid-2000s, recovery in semiconductor fabrication investments drove revenue growth, peaking at $119.6 million in 2006 and $112.3 million in 2007.[27] Gross margins during these peak years ranged from 40% to 50%, bolstered by sales of high-margin steppers and lithography systems.[15]Revenue fluctuated in the $150-200 million range in the years leading up to the 2017 acquisition by Veeco Instruments, supported by consistent demand for advanced packaging and inspection tools. Following the acquisition, Ultratech's operations contributed to Veeco's semiconductor equipment segment, which formed part of the company's overall Q2 2025 revenue of $166.1 million and Q3 2025 revenue of $165.9 million.[60][34]Key factors influencing these trends included heavy reliance on cyclical memory chip markets, which accounted for a substantial portion of sales, and geographic concentration primarily in Asia.[61] Diversification into LED manufacturing equipment in the 2010s provided greater stability, as demand for high-brightness LEDs expanded market opportunities beyond traditional logic and memory applications.[62]As of 2025, Ultratech's technologies are integrated into Veeco's broader portfolio, contributing to projected annual revenues exceeding $600 million, with lithography systems driving strong year-over-year growth in advanced packaging solutions.[34][63]
Key Financial Events and Milestones
Ultratech, Inc. completed its initial public offering (IPO) on the Nasdaq stock exchange under the ticker symbol UTEK on September 29, 1993, marking a significant milestone that provided capital for business expansion following a management buyout earlier that year.[64][65]During the 2008-2009 global financial crisis, Ultratech's stock price exhibited substantial volatility, reflecting broader market pressures on semiconductor equipment companies amid reduced capital spending by chip manufacturers.[13]In February 2017, Veeco Instruments Inc. announced a definitive agreement to acquire Ultratech in a cash-and-stock transaction valued at approximately $815 million. The deal was completed on May 26, 2017, for a total value of around $862 million.[10][66][11][67]Following the acquisition's closure, Ultratech's common stock ceased trading and was delisted from the Nasdaq, integrating its operations as a wholly owned subsidiary of Veeco.[11]In May 2025, Veeco executed private exchange transactions for its remaining 3.75% convertible senior notes due 2027, leading to their cancellation and impacting the company's overall debt structure, with implications for subsidiary asset valuations amid ongoing semiconductor market dynamics.[68]
Acquisitions and Legal Matters
Major Acquisitions
UltraTech Cement has pursued an aggressive acquisition strategy to expand its production capacity and market presence in India and internationally, focusing on integrated cement plants, grinding units, and ready-mix concrete facilities.In 2004, UltraTech acquired the cement division of Larsen & Toubro (L&T) for approximately ₹1,100 crore (US$130 million), adding 8.5 million tonnes per annum (MTPA) of capacity and increasing its total to 18.9 MTPA. This acquisition marked UltraTech's entry into eastern and southern India.[3]A significant expansion occurred in 2017 when UltraTech acquired the cement assets of Jaypee Group for ₹15,900 crore (US$2.4 billion), including five integrated plants and three grinding units with a combined capacity of 21.2 MTPA, primarily in central and northern India. The deal, completed in phases, boosted UltraTech's capacity to over 90 MTPA.[5]In 2018, UltraTech acquired Binani Cement for ₹8,400 crore (US$1.2 billion) after a competitive bidding process, adding 6.25 MTPA capacity in Rajasthan and enhancing its presence in the competitive western market.[69]The 2019 acquisition of Century Textiles' cement business for ₹1,850 crore (US$260 million) added 9.31 MTPA across plants in Madhya Pradesh, Maharashtra, and Karnataka, pushing UltraTech's capacity beyond 100 MTPA for the first time outside China.[3]In 2024, UltraTech acquired a controlling stake in India Cements for approximately ₹3,954 crore (US$470 million), including 32.72% from promoters and an open offer for up to 26%, adding 14.5 MTPA capacity in southern India, particularly Tamil Nadu. As of November 2025, the integration is ongoing.[70]In 2025, UltraTech completed the acquisition of Kesoram Industries' cement unit for ₹780 crore (US$93 million), adding 2.15 MTPA capacity in Karnataka and Andhra Pradesh. Additionally, in December 2024, it acquired an 8.69% stake in Star Cement for ₹851 crore (US$101 million) to strengthen its eastern India footprint.[71][72]These acquisitions have diversified UltraTech's geographic reach and product portfolio, mitigating regional demand fluctuations in the Indian cement market.
Trademark Disputes and Controversies
UltraTech Cement has been involved in several trademark infringement and passing-off disputes to protect its "UltraTech" brand, recognized as a well-known trademark in India.In 2015, UltraTech filed a suit against Dalmia Cement in the Bombay High Court, alleging infringement and dilution of its mark through similar packaging and advertising. The court dismissed the suit in 2016, ruling that component elements like "Ultra" were not exclusively owned by UltraTech, allowing descriptive use in the industry.[73]In 2022, the Delhi High Court granted an interim injunction to UltraTech against Ultra Plus Cement Pvt. Ltd., restraining the use of "Ultra Plus" for cement products due to likelihood of confusion with "UltraTech." The court noted UltraTech's strong market reputation and the defendants' bad faith adoption. The case remains ongoing as of 2025.[74]Other notable suits include actions against Shree Balaji Cement Industries (2014) and various regional players for similar mark usage, often resulting in settlements or injunctions favoring UltraTech. In competition law, UltraTech faced scrutiny from the Competition Commission of India (CCI) in 2024 over alleged anti-competitive practices in acquisitions, but no penalties were imposed following review.[75]These disputes highlight UltraTech's efforts to safeguard its brand amid intense competition in India's cement sector, with no major unresolved controversies affecting operations as of November 2025.