Fact-checked by Grok 2 weeks ago

Stepper

A stepper, also known as a wafer stepper, is a projection lithography tool used in the manufacture of integrated circuits (ICs). It transfers intricate patterns from a , or , onto a wafer coated with , enabling the creation of microscopic features essential for devices. The device operates on a step-and-repeat principle: it projects a reduced image (typically 4x or 5x reduction) of the onto a small area, or field, of the using light and precision , then moves (steps) the wafer to expose adjacent fields without overlapping, building the full pattern layer by layer across the wafer. This process is a core step in , allowing high-volume production of chips with feature sizes down to nanometers, though it has largely evolved into step-and-scan systems for finer resolutions. Introduced in the 1970s, steppers revolutionized fabrication by improving yield and pattern fidelity over earlier contact or proximity printing methods, with key developments from companies like and . They remain vital in , supporting applications from to advanced computing.

Overview

Definition and Purpose

A stepper, also known as a stepper, is a projection system employed in manufacturing to transfer intricate patterns from a , or , onto photoresist-coated wafers through a step-and-repeat . This method involves projecting a demagnified image—typically at a 4× or 5× reduction ratio—of the onto a small rectangular field on the , then mechanically stepping the to adjacent positions to expose multiple fields across the entire surface without requiring full- illumination at once. The primary purpose of a stepper is to enable the high-volume of microchips by achieving the sub-micron feature sizes necessary for dense, high-performance semiconductors, supporting the patterning of billions of transistors per chip in modern devices. Within the broader process, which patterns circuit layers on wafers, steppers facilitate precise replication of designs onto layers, allowing subsequent or deposition steps to form conductive and insulating structures. In operation, illumination light passes through the , which contains the desired pattern at an enlarged scale, and is then focused by high-precision projection to expose a localized area of the on the , chemically altering it to define the pattern. This exposure is repeated across the in a grid-like sequence of steps, ensuring uniform coverage and alignment for multi-layer devices, with each step precisely controlled to minimize overlay errors. A key advantage of steppers over earlier contact or proximity printing methods is the elimination of physical contact between the and , which reduces defects such as scratches, contamination transfer, and wear, thereby improving and enabling reliable at resolutions below 1 μm.

Role in

In fabrication, the stepper serves as the primary tool within the workflow, which begins with preparation and coating, followed by patterned , , , and cleaning to define circuit features. This process is repeated for multiple layers—up to 100 in modern chips—to build complex three-dimensional structures, with the stepper projecting patterns sequentially onto the resist-coated to achieve precise across layers. Projection steppers offer key advantages over earlier contact and proximity aligners by eliminating physical contact between the mask and wafer, thereby preventing contamination, scratches, and mask damage that plague contact methods. This non-contact projection enables superior resolution, historically achieving features down to 10 nm through deep ultraviolet (DUV) techniques and now below 5 nm with evolutionary advancements like immersion and extreme ultraviolet (EUV) integration, while supporting larger wafers up to 300 mm in diameter for improved yields.
Printing MethodKey CharacteristicsLimitations
Direct mask-to-wafer touch for 1:1 imagingHigh defect rates from physical damage and ; limited to coarser features (~1-2 μm)
ProximitySmall gap (10-50 μm) between mask and wafer to reduce contact risksDiffraction-induced limits (~2-5 μm); still prone to particle issues
(Stepper)Optical reduction (4x-5x) projects mask image field-by-field without contactScalable to sub-10 nm ; minimizes defects and enables high-volume production on large wafers
The stepper's field-by-field exposure in a step-and-repeat manner optimizes die placement across the , maximizing usable area and enhancing overall by isolating defects to individual fields rather than the entire . systems achieve throughputs of 200-300 wafers per hour, balancing speed with to support high-volume . By facilitating the consistent scaling of feature sizes without linearly increasing costs—through reduced defect rates and efficient use of larger wafers—steppers have been essential to sustaining , enabling exponential growth in transistor density over decades.

Historical Development

Early Innovations (–1970s)

In the and early 1960s, photolithography for fabrication relied primarily on and proximity aligners, which exposed patterns by placing masks in direct with or in close proximity to photoresist-coated wafers. printing, introduced around 1955 for silicon devices, achieved resolutions of approximately 0.5 to 1 µm but suffered from high defect rates due to physical causing mask scratches and particle . Proximity printing, an evolution to mitigate these defects by maintaining a small gap (typically 10–50 µm), limited resolution to about 10 µm owing to effects, making it unsuitable for denser integrated circuits. The transition to projection-based systems began with innovations in step-and-repeat technology, inspired by photographic repeaters used in mask making. In 1959, Geophysics Corporation of America (GCA) acquired the David W. Mann Company, a precision instrument maker, forming the David W. Mann division. By 1961, this division developed and commercialized the first step-and-repeat mask reduction devices, known as photo-repeaters, enabling precise replication of patterns at reduced scales without full-wafer exposure. These early systems addressed limitations of contact methods by using optics to avoid direct mask-wafer contact, improving yield through reduced defects. During the 1970s, collaboration between GCA's division and led to the "Direct Step on " (DSW) system, the first projection stepper adapted for direct wafer exposure in integrated circuit production. Developed in the mid-to-late 1970s, the DSW introduced field-by-field stepping, shifting from full-wafer scanning to static of smaller fields, which allowed the use of compact, higher numerical aperture (NA) lenses for larger reticles while minimizing distortions. This innovation was crucial for achieving sub-micron precision, as early full-wafer scanners like Perkin-Elmer's 1973 Micralign suffered from thermal distortions in masks and optics during exposure, limiting overlay accuracy to around 2 µm for critical layers. In 1978, GCA launched the DSW 4800, the first commercially available wafer stepper, marking a pivotal shift from scanning to stepping for micron-scale fabrication and enabling higher circuit densities in semiconductors. This system, utilizing g-line mercury lamp illumination and , revolutionized by providing superior and throughput compared to prior aligners.

Key Milestones and Commercialization (1980s–Present)

In the early , the commercialization of stepper technology accelerated as firms entered the with g-line systems operating at 436 nm . Nikon introduced its first g-line stepper, the NSR-1010G, in 1980, achieving 1 μm and marking a shift toward for higher precision. followed with the MPA-500FA in 1982, its first projection aligner featuring a step-and-repeat mechanism that enabled broader adoption in semiconductor fabrication. Perkin-Elmer advanced the field by developing an laser-based stepper in 1982, leveraging deep light to push limits beyond traditional mercury lamps, though it faced challenges in penetration. Meanwhile, was founded in 1984 as a between and , and it shipped its first i-line stepper, the PAS 2500/40, in 1987, establishing a foothold in 365 nm tools with 0.7 μm . The 1990s saw a pivotal transition to deep ultraviolet (DUV) lasers, enabling sub-micron features for advanced nodes. Nikon shipped the first KrF stepper, NSR-1505EX, in at 248 nm, supporting resolutions down to 0.35 μm and accelerating the industry's move from i-line to DUV. followed with its first ArF stepper, PAS 5500/900, in 1998 at 193 nm, which became essential for 130 nm nodes and beyond. Entering the , emerged as a key enhancement, with Nikon pioneering the concept in the early by introducing a water- layer to increase beyond 1.0, achieving sub-100 nm resolutions. and Nikon commercialized immersion scanners around 2004–2006, such as 's TWINSCAN XT:1700Fi, which dominated high-volume production for 90 nm and smaller nodes. During the 2000s and , solidified its leadership through strategic acquisitions and platform innovations, while and shifted focus to mid-range applications. acquired Silicon Valley Group () in 2001 for €1.8 billion, gaining critical DUV and early EUV technologies to bolster its portfolio. The TWINSCAN series, introduced in the mid-2000s, revolutionized throughput with dual-stage scanning, capturing over 80% of the advanced market by the . and , meanwhile, concentrated on legacy nodes above 40 , supplying reliable i-line and KrF tools for cost-sensitive fabs, though their share in cutting-edge segments declined. In the , (EUV) at 13.5 nm wavelength transformed high-end production, with 's TWINSCAN NXE systems entering volume manufacturing in 2019 for 7 nm and 5 nm nodes. announced High-NA EUV in 2020, shipping the first TWINSCAN EXE:5000 system to in late for process development, with high-volume production expected to begin in 2025–2026 to enable sub-2 nm nodes. pursued as a cost-effective alternative to EUV, shipping its first FPA-1200NZ2C system in 2024 capable of 14 nm features equivalent to 5 nm generation patterning. Commercially, commands approximately 83% of the global market and over 90% in advanced nodes, generating €28.3 billion in net sales in 2024, driven largely by EUV and DUV systems. As of October 2025, reported Q3 net sales of €7.5 billion and anticipates full-year 2025 net sales to rise by approximately 15% compared to 2024. and maintain roles in legacy technologies for nodes above 28 nm, while China's Micro (SMEE) is developing a 28 nm DUV stepper, with other domestic firms conducting trials of similar tools in 2025, aiming to reduce import reliance. Unit costs range from $50 million for DUV steppers to $150 million for EUV systems, reflecting the complexity of sub-5 nm fabrication. The U.S., where GCA pioneered commercial steppers in the 1970s, ceded dominance to firms like and in the and Dutch in the due to manufacturing scale and innovation gaps. Geopolitical tensions in the imposed export controls on advanced tools, with the U.S. pressuring the and to restrict ASML's EUV shipments to since 2019, tightening DUV limits in 2023 to curb advanced chip production.

System Components

Major Subassemblies

The mainframe of a stepper serves as the primary sealed chamber that encases all critical components, maintaining a controlled environment with temperatures typically between 20–22°C, levels below 1 , and particle-free air circulation to minimize distortions in the and surfaces. This enclosure ensures thermal stability and isolation from external contaminants, supporting the precision required for nanoscale patterning in fabrication. Wafer handling systems include a robotic loader capable of transferring batches of 25 wafers, typically 200–300 mm in , into the system, paired with an air-bearing that enables X-Y-Z motion with sub-nanometer for accurate stepping across the surface. The uses porous media air bearings to achieve frictionless movement and positioning accuracy below 1 , essential for aligning fields without mechanical wear. Reticle handling incorporates a dedicated loader and stage for 6-inch square reticles, utilizing a vacuum to secure the mask with high flatness and minimal distortion during transfer and positioning. This assembly ensures the , which carries the pattern, remains stable within the , supporting reduction ratios such as 4:1 or 5:1 common in modern systems. The projection column forms a vertical assembly that houses the objective optics system. For DUV wavelengths, it often employs catadioptric designs with immersion to achieve numerical apertures (NA) up to 1.35. For EUV wavelengths, it uses all-reflective mirror systems in a vacuum to achieve NA of 0.33 in standard configurations or 0.55 in high-NA configurations (as of 2024). These optics reduce and project the reticle pattern onto the wafer, with the column isolated to prevent alignment shifts from environmental factors. Overall system integration emphasizes a modular that facilitates upgrades to optical and mechanical components, with total system weights ranging from 10–20 tons and footprints approximately 5 m by 3 m to accommodate in fabrication facilities. This design allows for scalability from older DUV tools to advanced EUV variants. Early steppers from the relied on simpler mercury-vapor lamps for illumination, whereas modern EUV systems incorporate vacuum chambers to handle the 13.5 nm wavelength without atmospheric absorption.

Alignment and Control Systems

Alignment and control systems in steppers ensure nanometer-scale precision during by integrating advanced sensors, software, and feedback mechanisms. typically employs off-axis and through-the-lens (TTL) sensors to detect marks on the surface relative to the pattern. In ASML's TWINSCAN platforms, interferometry-based systems facilitate both global —mapping the entire for overall positioning—and —fine-tuning individual fields—achieving overlay accuracies below 2 , which is essential for multilayer chip fabrication. Reticle alignment complements wafer positioning through automatic die-by-die matching, where fiducial marks on the reticle edges are aligned with corresponding wafer features using dedicated optical systems. This process corrects errors such as rotation, scaling, and translation by adjusting the reticle stage in real time, minimizing pattern distortions across multiple exposures. For example, in systems like the stepper, fiducials near the reticle periphery enable precise registration, with software algorithms applying corrections to maintain sub-micrometer accuracy. Control systems orchestrate these alignments via PC-based software platforms, such as ASML's PAS series, which handle recipe management for exposure parameters, dose control to regulate light intensity, and TTL focus monitoring to maintain optimal wafer plane positioning. These software suites use model-driven engineering to integrate thousands of lines of code, enabling automated calibration and real-time adjustments. Feedback mechanisms further enhance precision, with laser interferometers measuring stage positions at resolutions of approximately 0.1 nm by tracking interference patterns from reflected beams. Vibration isolation is critical to prevent external disturbances from compromising alignment, achieved through active dampers that employ piezoelectric actuators and sensors to counteract motions in . These systems, often integrated into the stepper's base frame, reduce transmitted vibrations by up to 40 in key ranges, ensuring stable operation in fab environments. Automation features like the Standard Mechanical Interface (SMIF) enable seamless with fabs by standardizing pod handling, minimizing contamination during transfers to and from the stepper. Error logging within control software records alignment deviations, anomalies, and data to support optimization, allowing operators to analyze patterns and adjust processes iteratively. Recent advancements in the incorporate AI-assisted , where models recognize device patterns directly from camera images to predict and correct shifts without relying solely on marks, reducing setup times from hours to minutes and improving throughput in high-volume production.

Operational Principles

Step-and-Repeat Exposure Process

The step-and-repeat process in employs a sequential to the entire surface by . The process commences with loading the photoresist-coated and the into the stepper system, followed by precise of the to the using alignment marks. The full is then illuminated, and a demagnified image—typically 26 × mm on the —is projected onto the selected of the through the projection optics. occurs for approximately 50–200 ms to transfer the into the photoresist, after which the performs an X-Y shift of approximately 26 mm (corresponding to the size) to the adjacent position. This of , , and stepping repeats across 100–400 fields per , depending on diameter and die size. Throughput in step-and-repeat systems is determined by the cumulative time for each exposure cycle, which includes (typically around 10 s per in field-by-field mode), stepping (about 2 s), and (0.1 s), resulting in a total processing time of approximately 1–2 minutes per for standard configurations. Modern i-line steppers achieve higher rates, exceeding 200 wafers per hour for 300 mm wafers with 76 fields, owing to optimized global and faster stage movements. Overlay accuracy is critical, with each step maintaining alignment below 25 across multiple layers to ensure precise pattern registration. Following completion of all exposures on the , the is unloaded from the stepper for transfer to a development station, where the is processed to reveal the . Multi-layer registration relies on marks placed within lines on the , allowing subsequent lithographic layers to overlay accurately without interfering with active areas. variations in the process include , which measures distortions at a few points to compute a uniform correction for all fields, and die-to-die (or field-by-field) , which individually adjusts each exposure site to accommodate irregular or local deformations. Die-to-die mode enhances precision for non-uniform substrates but reduces throughput compared to .

Wafer Handling and Positioning

In photolithography steppers, pre-exposure wafer handling begins with the transfer of from cassettes or Front Opening Unified Pods () to the loader module, minimizing through enclosed transport systems designed for environments. Robotic arms, typically weighing around 4.5 kg and integrated into the wafer-handling module, extract individual from the FOUP and precisely place them onto the wafer stage, often after removing residuals from prior spin-coating processes to ensure surface cleanliness. The stage provides positioning precision by enabling movement in , including X-Y translation, tilt, and rotation, to align the wafer accurately beneath the projection optics. or electrostatic chucks secure the wafer to the stage, maintaining flatness with tolerances below 0.5 µm through uniform clamping forces that counteract distortions. measurements occur up to 20,000 times per second with accuracy around 60 picometers, supporting sub-nanometer . Between exposure steps, inter-field adjustments include focus leveling achieved via height sensors that map wafer topography, ensuring optimal focus across each die. Rotation corrections address wafer bow by compensating for curvature-induced misalignment, using real-time metrology data to adjust stage orientation without interrupting the process flow. Safety features incorporate particle monitoring systems to detect and mitigate risks during handling and positioning, preventing defects that could compromise . Additionally, edge exclusion zones of 3–5 mm are maintained around the periphery, leaving an unexposed border to avoid film buildup and mechanical that could generate particles. Stepper systems exhibit scalability by accommodating wafer diameters from 200 mm to 300 mm, the current standard for high-volume manufacturing, to increase die output. Advanced models incorporate features for 3D stacking preparation, such as enhanced warpage compensation to handle bonded wafer pairs with over 1,000 nm of distortion between layers. Alignment systems briefly aid these positioning tasks by providing reference marks for initial setup.

Optical Fundamentals

Illumination Systems

Illumination systems in stepper are responsible for generating, shaping, and delivering light to the with high uniformity and stability, enabling precise exposure of on wafers. Early systems relied on mercury arc lamps, which emit broadband light filtered to specific wavelengths such as g-line at 436 nm (resolutions around 1 µm) and i-line at 365 nm (down to approximately 220 nm). These lamps provided continuous illumination but suffered from limited intensity and spectral purity compared to later sources. Modern deep ultraviolet (DUV) steppers employ lasers as primary light sources, with krypton fluoride (KrF) lasers operating at 248 nm and argon fluoride (ArF) lasers at 193 nm, enabling resolutions below 80 nm through higher coherence and power. These lasers produce pulsed output with repetition rates up to several kilohertz, and their bandwidth is narrowed to around 0.3 pm (FWHM) using etalons or gratings to minimize chromatic aberrations in projection optics. For (EUV) steppers, light at 13.5 nm is generated via -produced , where a high-power CO2 (typically 20 kW) irradiates tin droplets at rates of 50,000 per second, converting into EUV with a conversion efficiency of about 5%. As of 2025, commercial EUV sources have achieved stable output powers exceeding 250 W at the intermediate focus, with laboratory demonstrations up to 600 W, to support high-volume manufacturing throughput. Condenser optics in illumination systems utilize to flood the uniformly, imaging the light source onto the condenser aperture while focusing the aperture onto the reticle plane, which ensures telecentricity and minimizes distortion. Partial coherence is controlled by the illumination (σ) parameter, typically ranging from 0.5 to 0.9, which defines the angular spread of light and balances with in the process. Fly's eye lenses, consisting of arrays of microlenses, further homogenize intensity by superimposing multiple beamlets, achieving uniformity better than 1% across the field while allowing customizable source shapes for optimized performance. Dose control is critical for consistent exposure, with energy meters monitoring pulse energy in real-time to maintain doses between 10 and 50 mJ/cm², depending on resist sensitivity and . techniques, such as adjustable laser cavity parameters, ensure uniform energy delivery across pulses, reducing variations to below 0.5% and preventing over- or under-exposure in step-and-repeat cycles. Key challenges include maintaining source stability, particularly for EUV where fluctuations can affect power output, requiring advanced systems for dose uniformity. filtering remains essential in DUV systems to suppress , as exemplified by the 193 nm ArF laser's narrowed to ±0.3 pm. To enhance efficiency, integrates a layer between the projection lens and , increasing () beyond 1.2 by a factor related to the of (n ≈ 1.44), which supports higher and improved scaling without additional source power.

Projection Optics and Resolution Challenges

The projection optics in stepper lithography systems employ reduction lenses with magnification ratios typically ranging from 4x to 5x, enabling the imaging of larger patterns onto smaller features. These lenses achieve high numerical apertures () of 0.9 to 1.35 in configurations, where a liquid medium between the lens and increases the to enhance . Catadioptric designs, combining refractive and reflective elements, are commonly used to minimize aberrations such as spherical and chromatic distortions; aspheric mirrors in these systems correct for off-axis aberrations and maintain image fidelity across the field. The fundamental limit of resolution in these optics is governed by the Rayleigh criterion, expressed as R = \frac{k_1 \lambda}{\mathrm{NA}}, where R is the minimum resolvable half-pitch, \lambda is the exposure wavelength, NA is the , and k_1 is a process-dependent factor typically ranging from 0.25 (theoretical limit) to 0.6 depending on mask design and illumination conditions. For instance, using 193 nm ArF light (\lambda = 193 nm) with an NA of 1.35 and k_1 \approx 0.3, a of approximately 38 nm half-pitch can be achieved, enabling features critical for sub-45 nm nodes. Key challenges arise from the limit, which is more pronounced for dense patterns than sparse lines due to higher-order orders being clipped by the , and from aberrations that degrade if not precisely corrected. The (DOF), given by \mathrm{DOF} = \frac{k_2 \lambda}{\mathrm{NA}^2} where k_2 is another process factor (typically 0.5–1.0), narrows to about 0.1 µm at high NA, demanding ultra-precise positioning to avoid defocus-induced blur. To address these limits, optical enhancements such as phase-shift masks (PSMs), which introduce phase differences to boost contrast through destructive interference, and off-axis illumination, which shifts diffraction orders to improve resolution for periodic structures, are integrated as tweaks to the projection system. However, pursuing higher NA introduces trade-offs, including escalated costs from complex immersion fluid management (e.g., maintaining purity to prevent defects) and larger lens assemblies, while extreme ultraviolet (EUV) approaches reduce \lambda to 13.5 nm for finer resolution but necessitate vacuum environments to avoid light absorption by air.

Evolved Technologies

Step-and-Scan Scanners

Step-and-scan scanners represent an evolution in systems, where the and move synchronously in opposite directions during to project patterns through a narrow illumination slit, enabling larger effective fields than traditional static exposures. Exemplified by ASML's TWINSCAN platform, these systems typically employ a slit of approximately 26 mm in length and 8 mm in width, which scans across the field to achieve an exposure area up to 26 x 33 mm. This scanning approach contrasts with the static full-field exposure of step-and-repeat steppers, serving as their direct predecessor by addressing limitations in field size and uniformity for sub-0.25 µm nodes. Key differences from steppers include the use of smaller projection lenses in , which reduce costs and allow for higher numerical apertures (up to 0.57 ) while still covering larger areas through motion, unlike the fixed larger lenses required for full-field steppers. speeds typically range from 100 to 500 mm/s, with practical maximums around 250 mm/s for optimal pulse integration in laser-based illumination, ensuring the stage moves at velocities scaled by the system's (often 4x reduction). The operational process begins with stepping the to the initial position, followed by synchronous scanning of the slit across the with precise matching between and wafer stages to maintain , and concludes with stepping to the adjacent for the next . This method yields throughput comparable to steppers—often exceeding 200 per hour in modern dual-stage configurations—but excels with irregular die layouts by minimizing unused area. Advantages of step-and-scan systems include superior () uniformity, achieved through averaging of lens aberrations during motion, and greater compared to steppers at 0.25 µm , facilitating better process latitude for advanced nodes. They also support larger masks without compromising . However, the added mechanical complexity of high-precision stages increases sensitivity to vibrations and demands advanced control systems for synchronization errors below 2 nm. These systems emerged in the 1990s to overcome stepper limitations for finer features, with ASML's TWINSCAN platform debuting in 2001 for 130 nm nodes and evolving into the dominant architecture for high-volume advanced production.

Modern Advancements in EUV Lithography

The transition to extreme ultraviolet (EUV) lithography marked a significant evolution from deep ultraviolet (DUV) systems, enabling finer feature sizes for advanced semiconductor nodes. ASML's NXE series, introduced in the 2010s, pioneered this shift by employing reflective optics based on molybdenum/silicon (Mo/Si) multilayer mirrors optimized for 13.5 nm wavelength light, which is absorbed by nearly all materials and thus requires vacuum-compatible, non-refractive designs. These systems addressed the limitations of 193 nm ArF immersion lithography by achieving resolutions below 20 nm through shorter wavelengths and precise plasma-generated light sources. Building on this foundation, ASML announced its High-NA EUV platform in 2018 with development milestones culminating in 2023, featuring a numerical aperture (NA) of 0.55 to support 1-2 nm nodes with a single-exposure resolution approaching 8 nm. The first High-NA systems, such as the EXE:5200, began shipping in late 2023 to Intel, with broader high-volume manufacturing deployments targeted for 2025. Overcoming key technical hurdles has been essential for EUV's viability in production. Power scaling of the EUV source, generated via laser-produced tin , progressed from around 10 W in 2010 to over 300 W by 2025, enabling higher throughput and dose stability through advancements in CO2 efficiency and . Particle protection advanced with the development of thin pellicle membranes, such as (CNT)-based and films, which transmit over 90% of EUV while shielding from contaminants during exposure. Additionally, defects—random variations in and distribution leading to line-edge roughness and bridging—have been mitigated through optimized resist formulations, higher doses, and simulation-driven process controls, reducing defect densities by orders of magnitude in experimental validations. By 2025, maintains a near-monopoly on EUV equipment, with a reported order backlog exceeding $30 billion driven by demand from leading foundries for and chips. Nikon and have lagged in EUV adoption, with Nikon focusing on enhanced ArF immersion systems for nodes above 7 nm and planning tools for 2028 compatibility but no EUV entry, while pursues as an alternative. In , Shanghai Micro Electronics Equipment (SMEE) has achieved 7 nm capabilities using DUV multi-patterning but faces export restrictions on EUV, prompting pursuits of alternatives like ; initiated pilot production of its FPA-1200NZ2C system in 2024, targeting 14 nm lines with lower energy use than EUV. Looking ahead, High-NA EUV is poised to enable sub-2 nm nodes like Intel's 14A and Samsung's equivalents starting in 2026, despite some foundries like opting for multi-patterning in initial A16 implementations to manage costs. Integration with techniques, including (OPC) and inverse design via AI-accelerated inverse lithography technology (ILT), further enhances pattern fidelity by optimizing mask layouts for EUV's effects and curvilinear features.

References

  1. [1]
    What is a stepper motor? - Principles, types and crontollers
    A stepper motor is a brushless, synchronous electric motor that converts digital pulses into mechanical shaft rotation.
  2. [2]
  3. [3]
    Stepper Motor Basics
    Stepper motors are so named because each pulse of electricity turns the motor one step. Stepper motors are controlled by a driver, which sends the pulses ...
  4. [4]
    The Complete Guide to Stepper Motors - RS Components
    Jan 6, 2023 · Stepper motors are an incredibly versatile, reliable, cost-effective and accurate way of controlling precise motor movements.
  5. [5]
    [PDF] Stepping Motors - Rice ECE
    But the major advantage of the stepper motor is its ability to control position. ... 11.1 Types of Stepping Motors. 11.1.1 Permanent Magnet. The structure in ...
  6. [6]
    [PDF] An Introduction to Stepper Motors
    A stepper motor is an electric motor that rotates in discrete step increments. The movement of each step is precise and repeatable; therefore the motor's ...Missing: definition | Show results with:definition
  7. [7]
    [PDF] Stepper Motor Basics
    This motor type has some advantages such as very low inertia and a optimized magnetic flow path with no coupling between the two stator windings. These ...
  8. [8]
    [PDF] Stepping Motors Fundamentals - University of Iowa
    Jan 26, 2004 · Sample applications include ink jet printers, CNC machines and volumetric pumps. Several features common to all stepper motors make them ideally ...
  9. [9]
    Clemson Vehicular Electronics Laboratory: Stepper Motors
    Stepper motors are popular in digital control circuits, such as robotics, because they are well suited for receiving digital pulses for step control. Each step ...
  10. [10]
    Stepper Motor Theory - Northwestern Mechatronics Wiki
    Jul 3, 2006 · The advantage of operating without feedback is that a closed loop control system is not required. Generally, stepper motors produce less than 1 ...
  11. [11]
    [PDF] Lecture 8. Stepper Motors
    Because of the incremental nature of command and motion, stepper motors are easily adaptable to digital control applications. •No serious stability problems ...
  12. [12]
    Semiconductor Lithography (Photolithography) - The Basic Process
    Lithographic printing in semiconductor manufacturing has evolved from ... defined patterns on the wafer. This alignment, and the resulting overlay of ...
  13. [13]
    [PDF] Chapter 5: Lithography
    Typically, scanning projection systems are capable of about 1 μm resolution, while step-and-repeat projection systems can accomplish deep sub-micrometer spatial ...
  14. [14]
    [PDF] Optical Lithography - OSTI
    In the step and repeat system (a stepper) the entire mask is illuminated and projected onto the wafer exposing one “die” (approximately 25 mm × 25 mm in size at.
  15. [15]
    Semiconductor Front-End Process Episode 3: Photolithography
    Jan 5, 2023 · As the wafer's pattern largely determines its function, it is clear that photolithography is an essential step of semiconductor manufacturing ...Missing: definition | Show results with:definition
  16. [16]
    How microchips are made | ASML Description
    Modern chips can have up to 100 layers, which all need to align on top of each other with nanometer precision (called 'overlay'). The size of the features ...
  17. [17]
    Microlithography: from contact printing to projection systems - SPIE
    Feb 1, 1997 · The advantages are: (1) Large-numerical-aperture refractive lenses are easier to make. (2) Reduction facilitates better mask making. (3) ...Missing: aligners | Show results with:aligners
  18. [18]
    See ASML's DUV lithography systems
    ### Summary of DUV Lithography Systems
  19. [19]
    Moore's Law Milestones - IEEE Spectrum
    Apr 30, 2015 · The Dennard scaling equations describe how to reduce all of the transistor's major parameters, including the operating voltage, capacitance, and ...
  20. [20]
    1955: Photolithography Techniques Are Used to Make Silicon Devices
    In 1961 the David W. Mann division of GCA Corporation was the first firm to make commercial step and repeat mask reduction devices (photo-repeaters).
  21. [21]
    [PDF] ANALYSIS OF LITHOGRAPHY BASED APPROACHES IN ... - arXiv
    Contact printing provides good resolution of images of about 1 to 0.5µm. ... Mask are less defect prone than in pure (hard) contact. 5.3.2.2. Disadvantages.Missing: 1950s | Show results with:1950s
  22. [22]
    Semiconductor Process and Equipment(4/7)- Photolithography ...
    Aug 31, 2024 · 4.1 Contact/Proximity Lithography Machine ... Contact lithography technology appeared in the 1960s and was widely used in the 1970s. It was the ...
  23. [23]
  24. [24]
    Evolution of Lithography - SPIE Digital Library
    From the time of Senefelder to the present time, lithography has undergone major evolutions, but overall, its basic principle remains intact.
  25. [25]
    [PDF] Stepper Training - CNF Users
    A wafer stepper is a reduction projection tool that reproduces a reticle image onto a wafer, one die at a time, using a motorized stage.
  26. [26]
    [PDF] Microlithography and Mask Making Equipment - Chip History Center
    The worlds first scanning projection aligner,. Perkin-Elmer's Micralign. proved unacceptable for critical layers in production, even at two micron linewidths.Missing: distortions | Show results with:distortions
  27. [27]
    Chapter 5 - WAFER STEPPERS - SPIE Digital Library
    The DSW in the name of. GCA's stepper referred to Direct Step on the Wafer, an allusion to the stepper's origin in mask making. The GCA DSW4800 stepper, which ...
  28. [28]
  29. [29]
  30. [30]
    How Japan Won the Lithography Industry - by Jon Y
    May 24, 2023 · In 1975, Geophysics Corporation of America or GCA, a former map-making company, acquired a small precision-motor manufacturer called David Mann.
  31. [31]
    [PDF] 1980s g-line stepper (reduction-projection exposure system)
    Nikon commercialized a stepper called (NSR-1010G) with a resolution of 1 μm in 1980. (Figure 1) Both were steppers with a reduction ratio of 10:1 using g-line, ...
  32. [32]
    History | CANON LITHOGRAPHY EQUIPMENT State-of-the-art ...
    We will look back on the 50-year history of development and challenge in Canon lithography equipment, along with trends in the semiconductor industry and ...
  33. [33]
    [PDF] Milestones in Optical Lithography Tool Suppliers
    1975 – GCA introduces its first wafer track for resist processing. • 1978 – GCA introduces the DSW 4800, the first successful wafer stepper. (g-line, 10X ...<|control11|><|separator|>
  34. [34]
    Our history | ASML - Supplying the semiconductor industry
    ASML's history is rich with innovation and collaboration. Read our history to learn how we've grown into the semiconductor industry leader we are today.
  35. [35]
    [PDF] Chronology of Lithography Milestones Atsuhiko Kato
    May 9, 2007 · 1959 - GCA acquires David W. Mann. Later develops step & repeat cameras. GCA/David W. Mann was the first firm to make a two-stage step and ...
  36. [36]
    Nikon Initiates Global Legal Actions Against ASML and Carl Zeiss to ...
    Apr 24, 2017 · Immersion lithography technology, which Nikon pioneered in the early 2000s, has become essential in fabricating the state-of-the-art ...
  37. [37]
    Three decades of PAS 5500 – Stories - ASML
    May 7, 2021 · Many of ASML's larger customers, decided to migrate their production to the TWINSCAN platform which, unlike the PAS 5500, supported the larger ...Success From The Start · Value Beyond Critical · Resell, Refurbish, Recycle
  38. [38]
    ASM Lithography Holding NV to Acquire Silicon Valley Group Inc in ...
    ASML will acquire SVG in an all stock transaction valued at approximately EUR 1.8 billion (US$1.6 billion).
  39. [39]
    ASML - Twinscan, Step & Scan Aligner - The Chip History Center
    Oct 31, 2006 · ASML announced its newest lithography product, The TwinScan Scanning Stepper. Here was a tool representing the latest in technology.
  40. [40]
    ASML: Still Dominant In The Lithography Market - Seeking Alpha
    Oct 24, 2023 · According to our market share chart above, ASML dominates the lithography market with an 82.9% market share followed by Canon and Nikon. Its ...<|separator|>
  41. [41]
    EUV lithography systems – Products - ASML
    The first High NA EUV lithography system was delivered in December 2023. The platform will support process development and is expected to be used in high ...
  42. [42]
    5 things you should know about High NA EUV lithography - ASML
    Jan 25, 2024 · After a decade of R&D, ASML shipped the first modules of the first High NA EUV lithography system to Intel in December 2023.
  43. [43]
    Nanoimprint Lithography | Canon Global
    Oct 16, 2023 · Canon has achieved miniaturization at lower power consumption and lower cost with nanoimprint lithography (NIL), a new technology that is an alternative to ...
  44. [44]
    ASML reports €28.3 billion total net sales and €7.6 billion net ...
    Jan 29, 2025 · ASML reports €28.3 billion total net sales and €7.6 billion net income in 2024 ; New lithography systems sold (units), 106, 119, 421, 380.
  45. [45]
  46. [46]
    Losing Lithography: How the US Invented, then lost, a Critical...
    Oct 31, 2021 · Jim Gallagher ran the semiconductor equipment business at GCA, which was the world leader in lithography before ceding the market to Japanese ...
  47. [47]
    Dutch government retakes export control over two ASML tools from US
    Sep 6, 2024 · Under U.S. pressure, the Dutch government has never allowed ASML to ship its very best EUV tools to Chinese customers, while it began requiring ...
  48. [48]
    Clues to the U.S.-Dutch-Japanese Semiconductor Export Controls ...
    Mar 1, 2023 · The United States secured a deal with both the Netherlands and Japan to join in the new semiconductor export controls.
  49. [49]
    Lithography principles
    ### Summary of ASML Lithography Stepper Hardware Components
  50. [50]
    Impact of Vibration on Advanced Immersion Lithography
    Supporting the tool on STACIS resulted in a dramatic reduction of overall seismic vibration levels and achievement of the manufacturer's floor vibration ...Missing: stepper mainframe temperature free
  51. [51]
    Linear Slides for Semiconductors - New Way Air Bearings
    ... ASML uses precision air bearings to position the wafer for lithography etching. Only air bearings are up to this task, since “we are talking about nanometer ...
  52. [52]
    Lineup | Semiconductor Lithography Systems | Nikon Business
    Throughput, ≧ 230 wafers/hour (96 shots), ≧ 250 wafers/hour (96 shots)*2. *1Mix and Match Overlay: machine-to-machine overlay accuracy (NSR-S322F#1 to S322F ...Missing: rates | Show results with:rates
  53. [53]
    FPA-5520iV / HR Option / LF Option / LF2 Option | Canon Global
    ... vacuum chuck warped substrates. *FPA-5520iV stepper wafer stages adopt a new wafer chucking ... Reticle Size, 6 inch. Wafer Size, 300 mm (12 inch). Overlay ...
  54. [54]
    PAS 5500 – The Inside Story (1993) | ASML - YouTube
    Sep 1, 2016 · ... modular design that allowed for easy upgrades and customization. This meant that our customers could start with a basic setup and enhance ...Missing: weight footprint
  55. [55]
    Making EUV: from lab to fab – Stories - ASML
    Mar 30, 2022 · When ASML was founded in 1984, the industry was using mercury-vapor lamps that produced light of 436 nanometers (nm), known as g-line, and later ...Missing: stepper | Show results with:stepper
  56. [56]
    TWINSCAN: 20 years of lithography innovation
    ### Summary of Feedback Mechanisms in TWINSCAN Systems
  57. [57]
    Wafer alignment measurement in lithography systems based on ...
    To achieve high overlay accuracy during integrated circuit manufacturing, high-performance wafer alignment is a critical enabling technology. Developing rapid ...
  58. [58]
    [PDF] Wafer Alignment for Canon Stepper Dr. Lynn Fuller
    Jan 14, 2008 · In order to align a reticle to the stepper, the reticle must have fiducial marks at given locations near the edge of the mask. The Canon.
  59. [59]
    [PDF] GCA 6300 DSW Projection Aligner Operating Instructions - CNF Users
    GCA stepper alignment marks in perfect registration with the microscope reference marks. POSSIBLE ERRORS. Alignment errors of the reticle to the column:.
  60. [60]
    Explore software at ASML
    ### Summary of ASML's Control Software for Lithography Systems
  61. [61]
    ASML PAS 5500 User Guide Release 8.4.0 - Studylib
    ASML PAS 5500 User Guide (Release 8.4.0) for models /20 to /950, SAWS, RMCS. Learn system operation, maintenance, and administration for advanced ...Missing: dose | Show results with:dose
  62. [62]
    [PDF] High Performance Laser Interferometer Positioning for VMEbus ...
    Both the 10897B and 10898A provide superior dynamic positioning measurements accuracy as required for e-beam and step-and-scan semiconductor exposure systems.
  63. [63]
    [PDF] active vibration isolation design for a photolithographic stepper
    This paper discusses the design and implementation of a six axis active/passive vibration isolation system on a photohthographic stepper.
  64. [64]
    [PDF] Active Vibration Control in Fabs - Colin Gordon Associates
    An active vibration control system can be used for a variety of applications, including steppers,. SEM/TEM, mask aligners, mask inspection equipment, laser ...Missing: dampers | Show results with:dampers
  65. [65]
    A200 Wafer SMIF Pods | 200 mm Wafer Processing | USD - Entegris
    With an installed base of more than 200,000, the proven performance of our 200 mm wafer SMIF pod improves production yields and provides superior ...
  66. [66]
    [PDF] Design and Development of Conditional Diagnostic Logging using ...
    Oct 3, 2024 · This thesis presents the design and development of a conditional diagnostic logging system using a circular buffer for YieldStar. The primary ...
  67. [67]
    Measuring accuracy - Lithography principles - ASML
    There are two ways to examine the quality of the printed features on a chip: diffraction-based optical measurement and e-beam inspection.Missing: projection current
  68. [68]
  69. [69]
    NSR-SF155 i-Line Stepper - Nikon Precision
    The Nikon SF155 system makes use of leading-edge lens manufacturing technology to enable the same wide exposure field as KrF and ArF scanners (26 x 33 mm), ...
  70. [70]
    Overlay Improvement Roadmap: Strategies for Scanner Control and ...
    Aug 9, 2025 · Overlay Improvement Roadmap: Strategies for Scanner Control and Product Disposition for 5 nm overlay ... stepper design and reticle lay-out.
  71. [71]
    Overlay
    ### Summary of Alignment Modes and Related Information in Step-and-Repeat Lithography
  72. [72]
    Glossary of Lithography Terms - F - Chris Mack, Gentleman Scientist
    Field-By-Field Alignment A method of alignment whereby the mask is aligned to the wafer for each exposure field (as opposed to global alignment). Example ...
  73. [73]
    [PDF] SYSTEMS ENGINEERING & DESIGN METHODOLOGY ... - DSPE
    Nov 8, 2021 · The main task of the wafer-handling module is to extract wafers from a FOUP and place the wafers onto ... A typical robotic arm weighs around 4.5 ...
  74. [74]
    [PDF] Precision Six Degree of Freedom - DSpace@MIT
    Abstract. Lithography steppers currently produced use a combination of mechanical or air- bearing stages to achieve control of the wafer location in six ...
  75. [75]
    Vacuum chuck | Product information | NTK CERATEC CO., LTD.
    Features · High precision: flatness of 0.2 μm with L/F of not more than 0.05 μm · Shape control: adjustable chuck shapes in accordance with the shapes of wafers ( ...Missing: lithography <0.5
  76. [76]
    Mechanics & mechatronics at ASML
    ### Summary of Wafer Handling, Positioning, and Related Features in ASML Lithography Machines
  77. [77]
    How to measure the quality of a microchip
    ### Summary of Lithography System Accuracy Features
  78. [78]
    [PDF] Lithography Process Optimization for 3D and 2.5D Applications
    Nov 21, 2022 · Essentially, the stepper will be required to “distort” a “perfect” image to match the pattern existing on the wafer. Overlaying distorted wafers.
  79. [79]
    Criticality of Wafer Edge Inspection and Metrology Data to All ...
    Apr 19, 2018 · The goal is to find an automated solution to monitor this edge peeling issue and how peeling particles spread to product wafer front and back ...
  80. [80]
    Edge Exclusion - an overview | ScienceDirect Topics
    When assessing uniformity of the wafer surface after CMP, the width of the wafer periphery that is out of the evaluation region is called edge exclusion (EE).
  81. [81]
    200 mm vs 300 mm vs 450 mm Wafers: Which Size Fits Your Process?
    Oct 24, 2025 · The most common wafer sizes in use today are 200 mm (8 inches) and 300 mm (12 inches), while 450 mm (18 inches) wafers remain largely in the ...Missing: lithography stepper 3D
  82. [82]
    All about light and lasers in lithography
    ### Light Sources for Lithography Steppers
  83. [83]
    Deep UV Photolithography - Newport
    Mercury lamp I-line systems developed in the mid-1980's (λ = 365 nm) are useful down to feature sizes of about 380 nm; KrF excimer laser-based systems developed ...
  84. [84]
    [PDF] Report from the Extreme Ultraviolet (EUV) Lithography Working ...
    Aug 16, 2023 · In early 2023 ASML announced that they had set two new EUV power records of a one- hour run of 600 W EUV emission meeting the High-NA EXE:5200 ...
  85. [85]
    [PDF] Lithography optics
    The smaller the ray spread the more coherent the illumination would be as it will come more from a single source point. Page 11. Illumination. Entrance pupil.
  86. [86]
    [PDF] Lecture45.pdf - Chris Mack, Gentleman Scientist
    (example: σ = 0.5). -1st. +1st. 0th. © Chris Mack. 12. Partial Coherence Factor ... • Partial Coherence defines the range of angles illuminating the mask ...
  87. [87]
    [PDF] Design and Testing of OffAxis Illumination Filters for a 248nm DUV ...
    Abstract—This study involves the design and testing of off axis illumination apertures for an ASML 5500/90. 248nm DUV stepper. X and V slot pole apertures ...
  88. [88]
    [PDF] Exposure of Photoresists - MicroChemicals
    As the name photolithography already clearly expresses, the following exposure of photore- sists represents the main key process in microstructuring. The ...Missing: ms | Show results with:ms
  89. [89]
    DUV lithography for chip manufacturing | ZEISS SMT
    Excimer lasers are currently the most flexible and powerful sources of light in the ultraviolet region of the spectrum. The picture shows a module that is ...
  90. [90]
    EUV Light Sources for Next-Gen Lithography
    Mar 1, 2018 · Thus, the mercury i-line (365 nm) lithography of early years gradually gave way to 248-nm (KrF excimer laser) lithography, and then to the 193- ...
  91. [91]
    What is the Rayleigh criterion?
    ### Summary of Rayleigh Criterion in Lithography
  92. [92]
    Lithography k1 coefficient - Semiconductor Engineering
    The k1 coefficient is related to the difficulty of lithography and encapsulates process-related factors. It is used in the formula MFS = k1 x lambda / NA.
  93. [93]
    A Study on the Resolution and Depth of Focus of ArF Immersion ...
    Nov 14, 2022 · In Equation (2), NA must be large for fine resolution and 38 nm can be obtained at an NA of 1.35, which is the maximum value of the immersion ...Missing: half- pitch
  94. [94]
    Projection optical lithography - ScienceDirect.com
    By its very definition, optical lithography employs photons in the optical regime, and the wave nature of light dictates that diffraction limits the patterning ...
  95. [95]
    Off-axis illumination--working principles and comparison with ...
    The working principles of off-axis illumination to improve the resolution and depth of focus of optical projection imaging and some foreseeable problems are ...Missing: lithography | Show results with:lithography
  96. [96]
    EUV lithography process challenges - ScienceDirect.com
    In this chapter, EUV lithography process challenges will be explored and EUV interference lithography will be presented as a valuable tool not only for ...
  97. [97]
    TWINSCAN: 20 years of lithography innovation - Stories - ASML
    Aug 18, 2021 · The story of ASML's TWINSCAN lithography platform – a revolution in photolithography, for chipmakers and for the semiconductor industry.A New Stage For Lithography · Seeing Double With Nxt · Becoming Extreme
  98. [98]
    (PDF) Scanning stage technology for exposure tools - ResearchGate
    Mar 21, 2019 · A step- and-scan tool achieves a large (typically 26 x 33mm2) exposure field by moving a small projection field (typically 26 x 8mm2) across the wafer.
  99. [99]
  100. [100]
    TWINSCAN NXE:3600D - EUV lithography systems - ASML
    The NXE platform uses 13.5 nm EUV light, generated by a tin-based plasma source, to expose 300 mm wafers with a max exposure field size of 26 mm x 33 mm.Missing: slit | Show results with:slit
  101. [101]
    Nanometer interface and materials control for multilayer EUV-optical ...
    This review covers nanometer-scale multilayers for EUV optics, including layer growth, diffusion barriers, and capping layers, with a record reflectance of 70. ...
  102. [102]
    ASML's High-NA EUV Tools: Powering the Sub-2nm Era and ...
    Sep 30, 2025 · This enhancement enables an 8 nm resolution with a single exposure, a marked improvement over the 13 nm resolution of Low-NA EUV systems.
  103. [103]
    Intel and ASML strengthen their collaboration to drive High-NA into ...
    Jan 19, 2022 · EUV 0.55 NA has been designed to enable multiple future nodes beginning in 2025 as the industry's first deployment, followed by memory ...Missing: 2023 1-2 nm 8
  104. [104]
    EUV pellicles - Canatu
    Pellicles made of CNT membrane protect the photomask from defects during EUV lithography. EUV pellicles are crucial for the future of the semiconductor industry ...
  105. [105]
    Long-lifetime beryllium-based EUV pellicle membrane
    Sep 23, 2025 · An EUV pellicle is essential for protecting EUV photomasks from particle contamination and is now used in the mass production of EUV lithography ...Missing: advancements | Show results with:advancements
  106. [106]
    Sailing along the stochastic cliffs - IMEC
    Jun 28, 2019 · Stochastic printing failures are random, non-repeating, isolated defects such as microbridges, locally broken lines and missing or merging contacts.
  107. [107]
    Siemens-imec collaboration reduces stochastic failures in EUV ...
    Sep 11, 2025 · Siemens-imec collaboration reduces stochastic failures in EUV lithography by orders of magnitude in wafer-level experimental validation.
  108. [108]
    ASML: Market Pullback Offers Opportunity, Valued At 25x FY25 ...
    Sep 26, 2024 · ASML Holding is posed to transition from a transitory year to 59% growth next year, fueled by new fabs and a surge in net booking.
  109. [109]
    [News] Nikon Aims to Close the Gap on ASML with New ArF ...
    Feb 21, 2025 · Japan's Nikon aims to challenge this monopoly, planning to launch a new ArF immersion lithography system in FY2028 with improved compatibility with ASML's ...
  110. [110]
    Chinese chip making shows progress with new EUV patent from ...
    Sep 12, 2024 · The patent shows how SMEE is progressing in EUV lithography, which is considered the Achilles' heel of the Chinese semiconductor industry.
  111. [111]
    [News] China's Prinano Delivers First Homegrown Nanoimprint ...
    Aug 11, 2025 · As the report points out, Canon's FPA-1200NZ2C nanoimprint lithography machine can achieve a 14 nm line width and is claimed to produce 5 nm ...Missing: SMEE DUV alternatives pilot<|separator|>
  112. [112]
    [News] ASML Confirms First High-NA EUV EXE:5200 ... - TrendForce
    Jul 17, 2025 · Notably, ASML anticipates around a 30% jump in its EUV business in 2025. ASML's first High-NA EUV customer is confirmed to be Intel, which plans ...Missing: 0.55 1-2 nm resolution 8
  113. [113]
    TSMC still evaluating ASML's 'High-NA' as Intel eyes future use
    May 27, 2025 · Last year, Zhang had told reporters TSMC will not use High-NA for its A16 node, adding he did not like the sticker price. So far, ASML has ...
  114. [114]
    Advancements and challenges in inverse lithography technology
    Jul 24, 2025 · ... 193 nm, an equivalent NA of 1.35, and a resolution of 38 nm. In 2013, ASML launched an extreme ultraviolet (EUV) lithography machine with a ...