Fact-checked by Grok 2 weeks ago

1T-SRAM

1T-SRAM is a high-density technology developed by MoSys, Inc., introduced in September 1998, that employs a single-transistor, one-capacitor (1T1C) () cell architecture while providing a ()-compatible interface to simplify integration into -on-chip () designs. This technology addresses the limitations of traditional 6-transistor (6T) cells, which consume significant die area and power in high-performance applications such as caches and buffers, by achieving up to four to five times greater density through its -based cells arranged in small, independently managed banks (typically 32–256 Kbits each). Unlike conventional , which requires external refresh commands and complex timing, 1T-SRAM hides refresh operations internally using dedicated controllers per bank and an SRAM cache per macro, ensuring no visible or bus turnaround delays to the controller. It operates at high speeds, reaching up to 400 MHz in 0.18-micron processes, with low power consumption and compatibility with standard logic fabrication, eliminating the need for specialized processes. Key advantages include reduced manufacturing costs and improved yield compared to 6T SRAM, as well as simpler without the precharge, hold-off, or wait-state signals typical of . For instance, a 64-Mbit 1T-SRAM occupies approximately 70% less area than an equivalent 6T SRAM implementation while maintaining comparable performance. MoSys licensed this patented technology to leading foundries like , enabling its use in products from companies such as (in the console), graphics processors, and networking chips through the early . Although adoption waned with advancing process nodes and alternative memories like , 1T-SRAM influenced subsequent high-density embedded memory innovations by demonstrating the feasibility of DRAM-SRAM hybrids in logic processes.

Introduction

Definition and Principles

1T-SRAM refers to high-density technologies that provide SRAM-compatible interfaces using a single-transistor bit , achieving greater density than traditional 6T-SRAM while minimizing integration complexity in system-on-chip () designs. Unlike conventional 6T-SRAM, which uses six transistors in a bistable flip-flop for , 1T-SRAM implementations reduce the transistor count to one, typically an NMOS device, paired in some cases with a . This enables efficient where space and power are critical. The delivers SRAM-like speed and while powered, without external refresh visible to the system, though internal mechanisms differ by implementation. The two primary 1T-SRAM variants differ in storage principles. MoSys's pseudo-static 1T-SRAM, introduced in 1998, employs a 1T1C DRAM cell architecture with an explicit capacitor for charge storage. It hides refresh operations internally using dedicated controllers per bank and an SRAM cache, ensuring no latency penalties. In contrast, Zeno Semiconductor's true static 1T-SRAM, demonstrated starting in 2015, relies on a floating-body single transistor exploiting parasitic capacitances and intrinsic bi-stable effects, such as parasitic bipolar junction transistor (BJT) action within the MOS structure, to maintain data states ('0' or '1') indefinitely while powered without any refresh. For Zeno's design, data is written by applying voltage differentials to source and drain, with the gate controlling access, and read via differential sensing, leveraging floating body or gate-coupled effects for latch-like stability. This distinguishes it from DRAM's capacitor-based storage requiring periodic refresh. Both variants operate as static from an interface perspective, supporting random access without interruptions, while benefiting from reduced cell sizes. For details on historical development, see the History section. These implementations balance , speed, and reliability differently. MoSys's pseudo-static integrates refresh logic in small banks (e.g., 32–256 Kbits) to restore charge transparently, avoiding DRAM's complex timing. Zeno's true static 1T-SRAM uses the transistor's parasitic BJT gain for self-latching, eliminating refresh overhead entirely. A key advantage is reduced cell area, scaling favorably with process technology. The approximate bit cell area can be expressed as: \text{Area} \approx k \cdot L_g^2 where L_g is the gate length and k is a process-dependent factor around 10–20 for advanced nodes, yielding 3x to 5x reduction versus 6T-SRAM (often >100 F^2, with F the minimum feature size). For example, at 28 nm, 's 1T cell achieves 0.025 \mu m^2, compared to 0.127 \mu m^2 for 6T equivalents. First demonstrated by MoSys in 1998, the technology has evolved, with supporting nodes down to 14 nm FinFET as of 2019.

Role in Modern Computing

In system-on-chip (SoC) designs, embedded memory such as traditional six-transistor (6T) static random-access memory (SRAM) often dominates die area, accounting for up to 70% in high-performance microprocessors and significant portions in mobile chips, limiting space for logic and increasing manufacturing costs. 1T-SRAM addresses this by using a single-transistor bit cell for higher density akin to embedded dynamic random-access memory (eDRAM), while offering SRAM-like performance and logic-process compatibility. This facilitates larger on-chip memory integration without specialized fabrication. The technology enables substantial expansion of on-chip , reducing off-chip access and power costs. For example, MoSys's 1T-SRAM uses about one-third the area of 6T-SRAM for equivalent capacity, potentially tripling cache sizes without area penalty, while Zeno's offers up to 5x smaller cells. Larger boost data locality and throughput in bandwidth-heavy applications. As computing advances to nodes like 28 nm and 14 nm FinFET for power-sensitive areas, 1T-SRAM scales effectively in accelerators, mobile processors, and (IoT) devices amid growing memory needs. Zeno's demonstrations at these nodes target high-density embedded uses. Its lower transistor count reduces power, with MoSys variants using about one-quarter the power of 6T-SRAM in active and standby, yielding 20-50% savings in caches via lower leakage and interconnects.

History

Early Development by MoSys

MoSys, Inc., founded in 1991 as a fabless semiconductor company focused on innovative architectures, introduced its 1T-SRAM technology in September 1998 as a high-density solution compatible with standard logic processes. This pseudo-static RAM (PSRAM) approach addressed the need for SRAM-like performance and interface while achieving -level density, enabling larger on-chip blocks without requiring specialized fabrication. The technology quickly gained traction through partnerships, such as with in 1999, which verified and produced 1T-SRAM cores in 0.25-micron logic processes for licensing. Key milestones followed the initial launch, including the development of specialized variants to meet diverse application needs up to the mid-2000s. In 2001, MoSys released the 1T-SRAM-M, a low-power variant optimized for mobile and consumer devices, featuring standby currents as low as 10 μA per megabit in 0.13-micron processes and deployed in volume production for low-power applications. Around the same period, the company introduced 1T-SRAM-R enhancements, incorporating error-correcting code (ECC) capabilities and radiation tolerance for improved reliability in demanding environments, with silicon verification on 0.13-micron processes by 2003. By 2004, MoSys advanced to 1T-SRAM-Q, a quad-density version offering approximately four times the density of traditional six-transistor SRAM, licensed to foundries like Fujitsu for 0.13-micron logic and achieving macro densities of about 1.2 mm² per megabit. At its core, MoSys's 1T-SRAM innovation integrated small banks—typically 32 Kbits each (128 bits wide by 256 deep)—with an on-chip cache of equivalent size to mask internal refresh operations from the external . This architecture divided the macro into multiple banks (e.g., 256 for larger configurations), each with a dedicated refresh controller that performed invisible refreshes during idle cycles or hits, ensuring SRAM-compatible access times without visible penalties. Operating at speeds up to 250 MHz in 0.25-micron processes with a single-cycle , it added minimal die area overhead (less than 10%) while supporting densities up to 128 Mbits. A landmark adoption occurred in Nintendo's console, released in 2001, which utilized 24 MB of MoSys 1T-SRAM as main memory to deliver high-bandwidth graphics at low cost, with sustained latencies around 10 ns. This success extended to the in 2006, where 1T-SRAM served as 24 MB of the total 88 MB memory pool (including embedded portions), fabricated on NEC's to support enhanced multimedia performance. By the mid-2000s, these implementations had shipped over 25 million units, underscoring 1T-SRAM's role in cost-effective, high-performance embedded memory for .

Advancements by Zeno Semiconductor

Zeno Semiconductor, founded in 2007 by Yuniarto Widjaja, specializes in innovative technologies compatible with standard processes. The company introduced its true 1T-SRAM technology, known as Bi-SRAM, at the 2015 International Devices Meeting (IEDM), demonstrating a bi-stable 1-transistor cell with an area of 0.025 μm² fabricated on a 28 nm bulk process. This design leverages the parasitic (BJT) effect inherent in a standard NMOS to achieve latching without requiring a or refresh cycles, enabling static operation in a single-transistor configuration. Building on this foundation, developed both 1T and 2T variants of Bi-SRAM, with the 2T version offering enhanced performance for high-speed applications while maintaining the core bi-stable mechanism. In , the company demonstrated the scalability of its Bi-SRAM technology to the 14 nm FinFET node at IEDM, confirming functionality in advanced planar and FinFET processes without modifications to the foundry flows. The Bi-SRAM cell provides a 3x to 5x density advantage over conventional 6T- cells, primarily due to its minimal and absence of dedicated capacitors, allowing seamless with logic circuitry in applications. This capacitor-free architecture avoids the refresh overhead of dynamic RAM while matching the static retention of traditional , positioning it for cost-sensitive, low-power uses. As of 2025, continues to advance its Bi-SRAM technology, highlighting progress in 1T-SRAM and Boosted Transistor in 2024, through ongoing research and filings, with over 200 granted or pending. The company delivered an invited lecture on its innovations at the Integrated Circuits and Devices Workshop, highlighting potential extensions to sub-10 nm nodes. Although not yet widely commercialized, the technology targets high-density embedded needs in sectors like automotive and AI accelerators, leveraging its compatibility with leading foundry processes such as those at . As of November 2025, continues to focus on licensing its technology, with no publicly announced major commercial products.

Technology

MoSys 1T-SRAM Architecture

The MoSys 1T-SRAM architecture, introduced in 1998, employs a pseudo-static design that emulates behavior while leveraging DRAM-like density through hidden refresh operations. At its core, the bit cell is a 1T1C structure consisting of one and one , which stores charge on the capacitor to represent . These cells are organized into small banks, typically 128 rows by 256 columns, enabling efficient parallel access and minimizing latency impacts from refresh cycles. This banked arrangement allows the architecture to support high-speed patterns typical of SRAM applications. The overall macro integrates arrays with peripheral circuitry to provide a seamless -compatible interface. Each bank is supported by a macro-level with the same capacity as one bank (e.g., 32 Kbits), which holds the contents of recently accessed banks to service reads and writes without exposing the underlying refresh to the user. A dedicated controller manages transparent refresh operations every 1–16 ms, interleaving them across banks to avoid contention and ensure uninterrupted access. decoders and sense amplifiers are optimized for rapid operation, with the decoders enabling quick row and column selection within the compact banks, while sense amplifiers detect small voltage differentials from the 1T1C cells to amplify signals for reliable data output. Fabrication of MoSys 1T-SRAM is compatible with standard logic processes, allowing integration alongside high-performance logic without requiring specialized fabrication steps. The sense amplifiers and address decoders contribute to access speeds up to 400 MHz in 0.18-micron processes, facilitating applications in systems and networking where both density and performance are critical.

Zeno 1T-SRAM Cell Design

The 1T-SRAM , also known as the Bi-SRAM (bi-stable SRAM), employs a single NMOS structure enhanced by an ion-implanted buried n-well, referred to as the "boost" region, which creates a floating p-well above it. This configuration leverages parasitic junction transistors (BJTs) within the : specifically, two vertical BJTs and one lateral BJT, forming a bistable akin to a flip-flop. The floating body effect in the p-well enables the cell to emulate the behavior of coupled BJTs without additional components, distinguishing it from traditional dynamic memory designs. A key innovation is the absence of an explicit ; instead, data is stored as excess holes in the floating p-region () or area, representing the two stable s ('0' and '1'). Stability is achieved through a loop provided by the parasitic BJTs, where the gain exceeds unity (>1), maintaining the via a balance between (generating holes) and recombination without requiring refresh cycles. In the '1' state, the accumulated charge forward-biases the BJT structures, enhancing and reinforcing the ; the '0' state relies on minimal charge and leakage currents. This capacitor-free approach ensures true static operation while minimizing leakage compared to multi-transistor cells. The design integrates seamlessly with standard bulk CMOS processes and scales to FinFET architectures, using no new materials, masks, or equipment beyond conventional flows, thus preserving existing design libraries and IP compatibility. Demonstrated in 28 nm bulk , the cell occupies an area of 0.025 μm², offering up to 5× density advantage over conventional 6T-SRAM cells. At advanced nodes like 14 nm FinFET, the cell area is 0.022 μm², remaining competitive with or smaller than high-density 6T cells at 7 nm, enabling high-density embedded memory in SoCs. Write operations are performed by applying voltages that induce to forward-bias the BJT base-emitter junctions, injecting holes into the floating body to set the '1' state, while the '0' state is established by draining charge. Read operations are non-destructive, relying on sensing of the drain current: the '1' state exhibits significantly higher current due to the forward-biased lateral BJT contribution, allowing reliable state detection without disturbing the stored charge. This mechanism supports robust operation across process variations, as validated in models calibrated against TCAD simulations.

Operation

Read and Write Cycles in MoSys

In the MoSys 1T-SRAM architecture, the write operation begins with address selection, which activates the wordline to turn on the access transistor in the 1T1C cell structure, enabling the bitline—precharged to the desired logic level—to drive the storage capacitor to the appropriate voltage level. This process overwrites the previous charge on the capacitor, completing the write sequence in less than 10 ns due to the optimized small-bank design that minimizes wordline and bitline capacitances. The read operation similarly activates the wordline to connect the storage capacitor to the bitline, causing charge sharing that produces a small differential voltage on the bitline, which is then detected and amplified by a connected through the access . This read is non-destructive, preserving the capacitor's charge, and performance is enhanced by SRAM-style buffering per , allowing rapid access to cached data while other banks handle background tasks. Refresh operations are concealed from the user through an internal controller in each small (typically Kbits), which performs row-by-row reads and rewrites during idle cycles without interrupting access. The refresh interval t_{\text{ref}} is determined by the formula t_{\text{ref}} = \frac{C \cdot V}{I_{\text{leak}}}, where C is the storage of approximately 20 fF, V is the voltage , and I_{\text{leak}} is the leakage ; this enables refresh periods of –16 ms across all rows. Overall, these cycles deliver SRAM-like performance, with times of approximately 3 ns and bandwidths up to 3.2 GB/s in macro configurations, achieved through pipelined timing and no bus turnaround delays between reads and writes.

Latching and Stability in

's 1T-SRAM utilizes a single NMOS structure with a floating to achieve static through parasitic effects. The latching mechanism relies on the parasitic NPN (BJT) inherent in the device, where the drain, floating , and source form the BJT terminals. This parasitic BJT provides via at the drain- junction, enabling bi-stable operation; in state '1', accumulated holes in the lower the , activating the BJT to inject additional holes and reinforce the high-charge state, while state '0' maintains low charge with the BJT inactive due to higher and depleted carriers. The read operation exploits the gated diode effect for non-destructive sensing of the stored state. With the gate biased high to form a gated between the and source/drain, a small voltage applied to the drain produces a that differs significantly between states—higher for state '1' due to the accumulated charge facilitating easier conduction—allowing reliable detection without altering the potential. Write operations set the body charge accordingly: for state '1', is induced by applying high gate and drain voltages to generate electron-hole pairs, with holes accumulating in the floating to establish the high-charge condition; for state '0', the body-source junction is forward-biased to extract holes, depleting the . These operations are achieved with pulse widths as short as 20 ns in fabricated devices. Stability in Zeno's 1T-SRAM is ensured by the bistable positive feedback loop of the parasitic BJT, which separates the two states by a threshold voltage difference and provides robustness against noise. The hold static noise margin (SNM) supports reliable operation at supply voltages around 1 V, with the cell exhibiting bi-stability across temperatures up to 125°C. Retention is inherently static due to the latching mechanism, eliminating the need for refresh cycles, and non-destructive reads have been verified over durations exceeding 1 hour; the temperature dependence shows retention improving at lower temperatures as junction leakage currents decrease with cooling, while standby power remains low at less than 1/5 that of conventional 6T-SRAM cells, primarily limited by n-well leakage in the hold state.

Advantages and Disadvantages

Key Benefits

One of the primary advantages of 1T-SRAM technology is its substantially higher density compared to conventional 6T-SRAM, enabling larger on-chip memory integration without increasing die area. Semiconductor's 1T/2T Bi-SRAM bitcell, utilizing a single-transistor design, achieves 3x to 5x smaller cell sizes than 6T-SRAM, as demonstrated in 14 nm FinFET processes at the IEDM conference. Similarly, MoSys's 1T-SRAM provides approximately one-third the cell area of standard 6T-SRAM. In terms of performance, 1T-SRAM delivers SRAM-comparable access speeds while maintaining compatibility with high-speed logic processes. MoSys implementations exhibit random cycle times as low as 2.66 ns, supporting high-bandwidth applications without the refresh overhead typical of DRAM alternatives. Zeno's design further ensures scalability to advanced nodes like 16 nm FinFET, preserving fast read/write operations through bi-stable transistor states. Power consumption benefits arise from the reduced and optimized , leading to lower dynamic power usage. The single-transistor structure in both MoSys and Zeno variants consumes considerably less power than 6T-SRAM during active operations. Regarding cost and yield, 1T-SRAM leverages standard logic design rules and CMOS compatibility, eliminating the need for specialized masks or capacitor fabrication steps common in DRAM-based memories. This approach significantly boosts SoC yields over 6T-SRAM in high-density arrays by minimizing defect-prone elements and repair mechanisms like laser fusing. Zeno's floating-body mechanism avoids additional process layers, further enhancing manufacturability and reducing integration costs in embedded systems.

Principal Limitations

One principal limitation of 1T-SRAM technologies is their , whereby stored data is lost upon power-off, similar to conventional . In MoSys implementations, this volatility stems from the underlying DRAM-like single-transistor cells, which require periodic refresh operations to maintain , introducing an area overhead of less than 10% for the integrated SRAM cache and refresh controllers per bank. Reliability concerns are prominent in Zeno Semiconductor's design, where the single-transistor structure results in lower standby leakage current—approximately one-sixth that of a 6T due to the single-transistor design, though recombination in the transistor's contributes to some leakage—benefiting life in high-density applications. Manufacturing challenges further compound reliability, with historical precedents like similar technologies requiring extensive filings and years of development to achieve viable yields. Scalability issues arise in advanced process nodes for both variants. While MoSys 1T-SRAM was implemented at 90 nm processes, further scaling of its DRAM-like cells faced general challenges related to leakage and effects in sub-90 nm nodes. Zeno's design, demonstrated at 14 nm FinFET nodes using standard processes without a buried N-well for body charge control, faces potential hurdles at sub-10 nm scales due to maintaining amid increasing leakage and variability, though non-destructive read operations ensure repeated cycle stability. The initial design complexity of 1T-SRAM has historically delayed widespread adoption, as integrating the refresh logic in MoSys or the bistable physics in demands specialized verification and yields lower than standard early in production. This complexity contributed to a premium in early implementations, often exceeding that of alternatives due to added process steps and redundancy needs for improvement. As of 2025, neither variant has seen widespread commercial adoption beyond demonstrations, with MoSys use peaking in the early .

Comparisons

With 6T-SRAM

1T-SRAM offers significant advantages in area efficiency over conventional 6T-SRAM, primarily due to its use of a single per bit compared to six transistors in the standard design. For instance, Semiconductor's 1T-SRAM achieves an area of 0.025 μm² at the 28 nm node, which is approximately 5 times smaller than the 0.127 μm² area of a high-density 6T-SRAM at the same process. This reduction stems from the simplified structure, enabling higher on-chip memory density without requiring specialized manufacturing processes beyond standard . In terms of power and speed, 1T-SRAM provides comparable access times to 6T-SRAM while exhibiting substantially lower leakage power. The single-transistor results in intrinsically lower static power consumption, with Zeno's design demonstrating about 1/6th the leakage of a 6T-SRAM due to reduced recombination in the . Dynamic power is similar or slightly lower, and variants like the 1.7T configuration can even achieve 40% faster access times. However, 6T-SRAM maintains superior static noise margin (SNM), providing better data stability during read operations, as the cross-coupled inverters in 6T cells offer greater resistance to noise compared to the latching mechanism in 1T designs. Yield benefits in 1T-SRAM arise from the reduced per bit (1 versus 6), which minimizes parasitic effects and random defects, leading to higher manufacturing yields especially in dense arrays. For example, early implementations like MoSys 1T-SRAM showed improved yields over 6T-SRAM in sub-100 nm processes, where 6T cells often drop below 50% yield for multi-megabit blocks due to increased variability. This transistor efficiency also reduces overall interconnect parasitics, enhancing . A key trade-off is that 1T-SRAM is more sensitive to process variations than 6T-SRAM, as the single transistor's fluctuations can more directly impact latching stability and retention, potentially requiring tighter process controls for reliable operation.

With eDRAM and Other Alternatives

1T-SRAM architectures, such as those developed by MoSys, offer performance benefits over () by incorporating an integrated that hides refresh operations, eliminating visible refresh overhead and enabling faster effective access times comparable to traditional . In contrast, typically requires periodic refresh cycles that can impact performance, with access times generally in the range of 10-20 ns, while 1T-SRAM achieves sub-5 ns access without such interruptions. However, provides superior density at scale due to its 1T1C cell structure, with MoSys 1T-SRAM cells being approximately three times larger than standard cells. Despite this, the static SRAM-like interface of 1T-SRAM allows for better integration in high-speed applications, and it consumes lower overall power in cache-heavy scenarios by avoiding 's refresh-related energy costs. Compared to pseudo-static RAM (PSRAM), which is essentially with built-in refresh circuitry, the MoSys variant of 1T-SRAM functions as an enhanced form of PSRAM by adding a small on-chip to boost performance and mask variations from refresh. This results in higher and more consistent access patterns than standard PSRAM. The 1T-SRAM, being a true static design leveraging floating-body effects for bi-stability, further outperforms PSRAM in , maintaining state indefinitely without any refresh requirement, unlike PSRAM's dynamic nature that necessitates ongoing refresh to prevent data loss. In terms of integration, both MoSys and 1T-SRAM utilize standard logic processes, avoiding the specialized deep trench capacitor fabrication steps often needed for , which can complicate compatibility with advanced logic nodes. This enables easier embedding in system-on-chip () designs. The density gap between 1T-SRAM and narrows at advanced nodes like 45 nm, where achieves cell sizes around 0.069 μm²/bit, while 1T-SRAM remains competitive for performance-critical uses without sacrificing process simplicity.

Applications

In Gaming Consoles

1T-SRAM found significant application in 's gaming consoles during the early 2000s, particularly through implementations by MoSys. The , released in , employed 24 MB of MoSys 1T-SRAM as its primary main memory, codenamed "," operating at 324 MHz to deliver rapid access for textures and other critical game data. This configuration provided a sustainable of approximately 10 ns and a peak bandwidth of 2.6 GB/s, enabling efficient handling of graphics workloads without the higher typical of external modules. By integrating high-speed memory directly into the system architecture, the achieved compact board designs that minimized space requirements while supporting the console's / video output. Building on this success, the Wii, launched in 2006, integrated 24 MB of MoSys 1T-SRAM directly into its ATI graphics processing unit, complementing 64 MB of external for a total of 88 MB system memory. This embedded 1T-SRAM, fabricated using NEC Electronics' , maintained similar characteristics to the GameCube's , including over 2.6 /s bandwidth and low-latency , which facilitated graphics and motion-controlled gameplay at an affordable production cost. The collaboration between and MoSys, spanning over six years, leveraged the technology's pseudo-static interface to reduce overall system latency compared to off-chip alternatives, allowing the Wii to deliver enhanced visual fidelity in a cost-effective package. The adoption of 1T-SRAM in these consoles enabled to prioritize performance and compactness, influencing early strategies in consumer hardware. However, its use in gaming systems ended after the , as rapid advancements in technologies provided higher densities, improved bandwidth, and lower costs, rendering 1T-SRAM less competitive for subsequent generations.

In SoCs and Embedded Systems

Semiconductor's 1T Bi-SRAM has been developed for integration into system-on-chip () designs, offering a compact, bi-stable cell compatible with standard for applications. Demonstrated at the 14 nm FinFET without requiring modifications, this achieves a cell size approximately 5x smaller than conventional 6T-SRAM, enabling higher on-chip density for performance-critical components such as caches in and accelerators. By reducing per bit, it supports improved manufacturing yields in high-volume production, where area efficiency directly impacts cost and scalability. The legacy of MoSys' 1T-SRAM remains relevant in networking SoCs, where it has been deployed as to deliver high-speed access and low power consumption in communication chips. For instance, eSilicon utilized MoSys 1T-SRAM in silicon for advanced networking devices, combining density advantages with compatibility to foundry processes like TSMC's 90 nm , verified to high characterization levels. This eliminates the need for external memory in switch designs, lowering per-port costs in infrastructure. Following a failed acquisition attempt by in 2004, MoSys continued to license its technology independently. Adoption of 1T-SRAM has been limited in recent years due to competition from advanced embedded () and optimized 6T-SRAM in logic processes. As of 2025, no major new commercial implementations have been widely reported, though the technology demonstrated scalability to 14 nm FinFET nodes suggests potential for further advancement in high-density embedded memory.

References

  1. [1]
    [PDF] MoSys Explains 1T-SRAM Technology - cs.wisc.edu
    The 1T-SRAM can be made in pure logic processes for higher speed, or with an embedded. DRAM process to achieve greater density. Though MoSys (www.mosys.com) ...
  2. [2]
    [PDF] Monolithic System Technology, Inc. (MOSY)
    Voll: MoSys was founded in 1991, originally as a fab- less semiconductor company developing new memory architecture that would benefit companies using large ...
  3. [3]
    A 1T SRAM? Sounds Too Good to be True! - The Memory Guy Blog
    Feb 19, 2016 · PSRAM and 1T-SRAM are really DRAM on the insides, with logic surrounding the memory to make it behave like an SRAM. What set the Mosys design ...
  4. [4]
  5. [5]
    Zeno Semiconductor
    Zeno 1-transistor Bi-SRAM (bi-stable, BiCMOS) provides a static memory cell with 5x smaller cell size. It is bi-stable, hence does not require refresh operation ...
  6. [6]
    MoSys ports one-transistor SRAM technology to TSMC logic process
    According to MoSys of Sunnyvale, Calif., the 1T-SRAM memory is the industry's first single-transistor static RAM implemented completely in a standard logic ...Missing: principles Zeno
  7. [7]
  8. [8]
    A 1T (or 2T) SRAM Bit Cell - EEJournal
    Jan 4, 2016 · SRAM is important because it's our fastest-performance memory tier – and it can take up a goodly chunk of your SoC area. But it's an expensive ...
  9. [9]
    (PDF) Statistical analysis of SRAM cell stability - ResearchGate
    Static Random-Access Memory (SRAM) has emerged as the dominant on-chip memory technology in modern integrated circuits, consuming up to 70% of die area in ...
  10. [10]
    MoSys' 1T-SRAM speeds into communications - EE Times
    Compared with a conventional 6T-SRAM, the MoSys product occupies one-third the die area and consumes one-quarter the power, while operating 10 times faster than ...Missing: cache | Show results with:cache
  11. [11]
    1-T SRAMs in high-density, portable applications - SemiWiki
    Jun 14, 2019 · The one-transistor (1T) bit cell offers up to 50% reduction in core area for a given bit capacity compared to the more widely-used six- ...
  12. [12]
    Zeno at IEDM 2015 - zenosemi - RSSing.com
    Zeno's 1-transistor Bi-SRAM uses a single transistor as the memory bitcell and is therefore 5x smaller than conventional SRAMs which use 6-transistor bitcells ( ...
  13. [13]
    1T-SRAM improves yield, benefits SoCs - Design And Reuse
    Mar 17, 2004 · Embedded memory has become essential for achieving greater bandwidth and faster processing in SoC designs at 0.13 µm and below. By eliminating ...Missing: computing | Show results with:computing
  14. [14]
    Sticking With their Story: Zeno Demonstrates 1T SRAM at Leading ...
    Jan 24, 2019 · A bi-stable 1-/2-transistor SRAM in 14 nm FinFET technology for high density / high performance embedded applications.Missing: principles MoSys
  15. [15]
    [PDF] MoSys Returns to the Mainstream - CECS
    Aug 3, 1998 · Early problems with MCache's unique handshaking protocol for refresh cycles, and the sub- sequent crash in SRAM prices, kept MoSys from selling ...
  16. [16]
    MoSys and TSMC Partner to Offer 1T-SRAM Technology
    Mar 1, 1999 · MoSys 1T-SRAM cores, designed and verified in TSMC's 0.25-micron logic process, will be available for license from MoSys during the second ...Missing: development | Show results with:development
  17. [17]
    MoSys Unveils Ultra-Low Power 1T-SRAMR Embedded Memory ...
    Nov 12, 2001 · We have clearly demonstrated that 1T-SRAM has far superior soft-error-rate (SER) reliability than 6T SRAM starting at the 0.15-micron process ...
  18. [18]
    Chartered verfies Mosys' 1T-SRAM -R at 0.13-micron - EDN Network
    Jul 21, 2003 · MoSys Inc. Monday said that its 1T-SRAM-R memory technology has been silicon-verified on the 0.13-micron process of Chartered Semiconductor ...
  19. [19]
    Fujitsu and MoSys Tie Technology License for 1T-SRAM-Q ...
    Jan 26, 2004 · MoSys' innovative quad density 1T-SRAM-QTM technology is licensed to Fujitsu Limited for use on its 0.13-micron logic process.
  20. [20]
    MoSys' 1T-SRAM-Q Memory Silicon-Verified on Chartered's 0.13 ...
    Jul 27, 2004 · ... 2004. With a complete macro density of approximately 1.2-square millimeters per megabit, 1T-SRAM-Q technology enables designers to embed ...
  21. [21]
  22. [22]
  23. [23]
    MoSys' 1T-SRAM(R) Embedded Memory Technology Enables ...
    The newest 1T-SRAM implementations embedded within the Wii console are fabricated using NEC Electronics' advanced 90nm CMOS-compatible embedded DRAM process ...Missing: 24MB | Show results with:24MB
  24. [24]
    25 Million 1T-SRAM Units Produced - IGN
    Oct 15, 2002 · ... MoSys that it has shipped more than 25 million units of the 12MB density 1T-SRAM. Given that GameCube's main memory consists of 24MB of 1T-SRAM ...
  25. [25]
    Zeno Semiconductor - 2025 Company Profile & Team - Tracxn
    Sep 28, 2025 · Zeno Semiconductor is a funded company based in Sunnyvale (United States), founded in 2007 by Yuniarto Widjaja. It operates as a Novel SRAM ...
  26. [26]
    Zeno 1T/2T Bi-SRAM scales to 14nm | Electronics Weekly
    Dec 6, 2018 · Zeno's 1T/2T Bi-SRAM uses a single transistor as the memory bitcell and is therefore 3x-5x smaller than conventional SRAMs which use 6- ...Missing: parasitic 2015
  27. [27]
    Zeno Semi Expands On-Chip Memory - Semiconductor Engineering
    Oct 30, 2018 · The Zeno-1 transistor is built on standard CMOS processes, has a bi-stable bipolar transistor built into its structure, which includes an N-well ...Missing: principle | Show results with:principle
  28. [28]
    [PDF] Equivalent Circuit Macro-Compact Model of the 1T Bipolar SRAM Cell
    Apart from the MOSFET and the two vertical BJTs and one lateral BJT, the equivalent circuit has additional passive components. First, the capacitive components ...
  29. [29]
    A 1T SRAM? Sounds Too Good to be True! - Zeno Semiconductor
    Feb 19, 2016 · The device is really a single standard NMOS transistor that behaves as if it were two bipolar transistors connected into something like a flip- ...Missing: principles MoSys
  30. [30]
    One-Transistor SRAM Stuffs More Into CMOS - EE Times
    The smallest static random access memory (SRAM) to date fits in the space of a single metal-oxide semiconductor (MOS) transistor.Missing: principle | Show results with:principle<|control11|><|separator|>
  31. [31]
    [PDF] High Speed Pipelined 1-Mbit (32Kx32) Standard 1T-SRAM
    High Performance 1T-SRAM Standard Macro. • 200 MHz operation. • 1-Clock cycle time. • Pipelined read access timing. • Late-late write mode timing.
  32. [32]
    1-T SRAM macros are preconfigured for fast integration in SoC ...
    Jun 15, 2005 · The 1T-SRAM CLASSIC macro low-power products feature 20 to 133-MHz of operation, MoSys' standard SRAM interface, and flow-through read timing. “ ...
  33. [33]
    [PDF] Modeling and Fabrication of Low Power Devices and Circuits Using ...
    This can be done by estimating the write transistor leakage current using: ILEAK. = C2V2/τ2, where τ2 is the retention time of the 2T cell, C2 is the storage ...
  34. [34]
    [PDF] Design of low-voltage low-power nano-scale SRAMs. - DR-NTU
    CBL = 100 fF, CL = 20 fF and clock frequency of 250 MHz. The latching delay ... [192] P. N. Glaskowsky, "MoSys explains IT SRAM technology," 1999. [193] ...
  35. [35]
    [PDF] Synchronous DRAM Architectures, Organizations, and Alternative ...
    Dec 10, 2002 · In ESDRAM, future reads to the same row are not delayed. 1.3.5 MoSys 1T-SRAM ... 800 MB/s. 3.2 GB/s. 22 ns a. Data for DRAMs that are typically ...
  36. [36]
    [PDF] A Bi-stable 1- /2-Transistor SRAM in 14 nm FinFET Technology for ...
    Abstract. 1-transistor and 2-transistor (1T/2T) SRAM are fabricated using 14 nm baseline foundry process without any process modifications.Missing: IEDM | Show results with:IEDM
  37. [37]
    Zeno Demonstrates Scalability of World's Smallest SRAM Bitcell ...
    Dec 8, 2018 · Zeno Demonstrates Scalability of World's Smallest SRAM Bitcell Technology to FinFET Technology Node at IEDM Conference. 1- /2-Transistor SRAM ...Missing: abstract | Show results with:abstract
  38. [38]
    LSI Logic, NEC adopt MoSys 1T-SRAM technology - EE Times
    Mar 27, 2000 · The MoSys 1T-SRAM is about three times larger than a standard embedded-DRAM cell. But when compared with a standard six-transistor SRAM cell now ...Missing: μm² 45nm
  39. [39]
    Superior Memory Performance - MoSys, Inc.
    Superior, High Speed Random Access Memory Architecture. The heart of the memory IC is our advance, parallel array 1-T SRAM with a capacity of 576Mb. · High-Speed ...
  40. [40]
    Blog: Dimensional scaling and the SRAM bit-cell
    Another exciting option is to replace the 6T SRAM bit-cell with the 1T bi-stable floating body memory cell invented by Zeno Semiconductor. The Zeno bit-cell ...
  41. [41]
    1T-SRAM improves yield, benefits SoCs - EE Times
    Jan 13, 2003 · 1T-SRAM technology's simple internal structure enables use of logic design rules, this technology significantly improves yield even within an equivalent ...Missing: Zeno | Show results with:Zeno
  42. [42]
    The ideal SoC memory: 1T-SRAMTM - ResearchGate
    ... Recently, 1TRAM (also known as 1T-SRAM) has been introduced as embedded memory in SoCs [53] . The 1TRAM cell consists of a capacitor of less than 10 fF and ...
  43. [43]
    What happened to 1T-SRAM? - Retrocomputing Stack Exchange
    Jan 4, 2025 · It can be used on a logic IC, with no special fabrication technology. It has low latency and pretty high bandwidth.<|control11|><|separator|>
  44. [44]
    eSilicon delivers working silicon for advanced networking chip using ...
    MoSys' patented 1T-SRAM technologies offer a combination of high density, low power consumption, high speed and low cost unmatched by other available memory ...
  45. [45]
    Synopsys to Acquire Monolithic System Technology (MoSys)and ...
    Synopsys to acquire MoSys in a cash and stock transaction valued at approximately $432 million (approximately $346 million net of cash).
  46. [46]
    SRAM Takes the Wheel in Autonomous Vehicles - EE Times
    Jun 21, 2016 · The new on-chip SRAM will be used as video processing buffer memory in high-performance SoCs that will play an important role in making the autonomous-driving ...
  47. [47]
  48. [48]
    News - Zeno Semiconductor
    Sticking With their Story: Zeno Demonstrates 1T SRAM at Leading Nodes. Let's face it: We're addicted to SRAM. It's big, it's power-hungry, but it's fast. And ...