Fact-checked by Grok 2 weeks ago

90 nm process

The 90 nm process is a manufacturing technology node in fabrication, defined by a minimum feature length of 90 nanometers for and interconnects. This node represented a critical step in the scaling of integrated circuits, allowing for increased transistor density, enhanced performance, and reduced power consumption compared to prior generations like 130 nm. Commercial production of 90 nm processes began in the early 2000s, with initial developments from companies including Toshiba, Sony, and Samsung in 2001–2002 for applications such as embedded dynamic random-access memory (eDRAM) and NAND flash. Intel led the volume production introduction in 2003, deploying it for high-performance logic chips and marking the industry's transition to 300 mm wafers as standard. By 2004, foundries like TSMC had ramped up manufacturing and verified the production of fully functional 90 nm chips using immersion lithography for improved resolution and yield. Other major adopters included IBM, AMD, Fujitsu, Infineon, and Texas Instruments, which introduced variants optimized for low-power and high-performance applications around 2004–2006. Key innovations in the 90 nm node focused on overcoming scaling challenges, such as transistor leakage and interconnect delays. Intel's process featured transistors, which enhanced carrier mobility by over 50% and boosted NMOS and PMOS drive currents by 10–20%, enabling higher clock speeds while maintaining lower power consumption. Additional advancements included low-k dielectric materials for reduced in interconnects and aggressive rules with unlanded contacts to achieve transistor densities up to approximately 1.45 million per square millimeter. Samsung's implementation emphasized high-density solutions. These features supported a wide range of products, from microprocessors to memory and RF/analog circuits, before the node was largely superseded by 65 nm processes around 2006.

Technology Fundamentals

Definition and Key Specifications

The 90 nm process node is a generation of semiconductor manufacturing technology used to fabricate integrated circuits, where the designation refers to the minimum feature size of approximately 90 nanometers, specifically the half-pitch of critical layers such as the contacted poly gate or first metal interconnect in CMOS transistors. This node represents a full scaling step beyond the 130 nm generation, following an intermediate 110 nm shrink used by some manufacturers as a stopgap to extend prior technologies. It enabled significant advancements in transistor integration while maintaining compatibility with established fabrication paradigms. Key specifications for the 90 nm node include a typical drawn gate length of 90 nm, with physical gate lengths realized around 40-50 nm after lithography and etching processes, depending on the application (e.g., high-performance vs. low-power logic). Metal pitch for local interconnects typically ranges from 210 to 240 nm (based on a half-pitch of 105-120 nm), reflecting the minimum center-to-center spacing for metal lines in the backend-of-line (BEOL). Transistor densities reached up to approximately 140 million transistors per square centimeter in logic circuits, supporting complex system-on-chip designs. Core power supply voltages operated at 1.0-1.2 V, balancing performance and power efficiency for microprocessors and memory devices. In terms of equivalent scaling, the 90 nm node achieved roughly 30% linear dimension reduction compared to the 130 nm predecessor, per the International Technology Roadmap for Semiconductors (ITRS) guidelines, resulting in enhanced performance metrics. This included increased drive current (up to 1.0-1.2 mA/μm for NMOS devices) due to shorter channel lengths and reduced (by about 30%), which improved switching speeds while mitigating short-channel effects through optimized doping profiles. The basic architecture of most 90 nm processes retained planar transistors on bulk substrates for reliable fabrication, though some manufacturers transitioned to silicon-on-insulator (SOI) substrates to improve performance and power efficiency. This approach facilitated high-volume manufacturing with established tools and processes.

Comparison to Adjacent Process Nodes

The 90 nm process represented a significant step in scaling, achieving an approximate 30% linear dimension reduction from the preceding 130 nm , consistent with the historical 0.7× shrink factor per . This scaling resulted in roughly double the transistor density, as area scales inversely with the square of the linear factor (i.e., density gain ≈ $1 / (0.7)^2 \approx 2), enabling more compact designs and higher integration levels while approximating principles that maintain constant through proportional reductions in voltage and . In practice, manufacturers like reported die size reductions exceeding 50% compared to 130 nm equivalents, alongside 20-30% speed improvements in circuit performance, though actual gains varied by implementation and often reached 30-50% due to architectural optimizations. Despite these advances, the 90 nm node introduced notable trade-offs, particularly in and fabrication . Leakage currents increased substantially, with gate oxide tunneling rising from less than 5% of total leakage at 130 nm to around 40% at 90 nm, driven by thinner s (e.g., 1.2 nm SiO₂), leading to overall leakage up to several times higher than prior nodes and challenging budgets. Manufacturing costs also escalated due to greater , including more intricate photomasks—typically 20-30 layers versus 15-20 for 130 nm—to accommodate finer features and additional interconnect layers, compounded by the shift to 300 mm wafers for higher yields but initial setup expenses. The 90 nm node served as a critical precursor to sub-90 nm challenges, notably by introducing low-k dielectrics (e.g., SiCOH with k ≈ 2.9 versus fluorinated SiO₂ at k ≈ 3.6 in 130 nm) to reduce interconnect and RC delays, a that became standard in later nodes for sustaining . However, it retained traditional SiO₂ gate dielectrics, unlike the high-k metal gates adopted at 45 nm to further curb leakage without sacrificing control. Quantitatively, these shifts enabled clock speed gains, such as Intel's reaching up to 3.8 GHz on 90 nm versus around 3.0-3.4 GHz on 130 nm implementations, while power efficiency improved by approximately 25% in metrics like per watt for low-power designs like Renesas' SH-X core.

Innovations and Features

Material and Structural Advancements

The 90 nm process node marked significant advancements in strained silicon technology to enhance performance amid scaling challenges. pioneered the integration of uniaxial strained silicon in its 90 nm CMOS logic technology, achieving carrier mobility improvements of approximately 10% for electrons and 20% for holes compared to unstrained silicon. This was accomplished through a process-induced strain mechanism involving the deposition of a (SiN) capping layer on the , which creates tensile stress for n-channel MOSFETs and compressive stress for p-channel MOSFETs via selective etching and deposition techniques. Unlike earlier biaxial strain approaches that relied on global lattice mismatch in epitaxial Si/SiGe layers—yielding similar gains but limited hole mobility enhancement due to perpendicular strain effects—uniaxial strain provided superior overall performance by aligning stress primarily along the direction, reducing the need for complex epitaxial growth and improving manufacturability. Interconnect scaling at the 90 nm continued to leverage metallization combined with low-k to mitigate RC delay increases from dimensional shrinkage. Copper lines were fabricated using the dual-damascene process, where vias and trenches are etched simultaneously into the dielectric, followed by and (CMP) to form multilevel wiring with pitch dimensions around 140-180 nm. Low-k materials, such as carbon-doped silicon oxide (SiOC) with dielectric constants below 3.0 (typically 2.7-2.9), replaced traditional SiO2 (k=3.9) to reduce intermetal capacitance by up to 20%, enabling higher clock speeds without excessive power dissipation. These were integrated via (PECVD), with barrier layers like (TaN) deposited by (ALD) to prevent diffusion. The stack in 90 nm transistors featured ultra-thin (SiO2) or silicon oxynitride () dielectrics with an effective thickness (EOT) of approximately 1.2 nm to maintain control while suppressing leakage currents. This from prior nodes' ~2 nm EOT allowed for shorter lengths (around 50 nm) but introduced challenges like direct tunneling, prompting nitridation of the to increase physical thickness slightly without raising EOT. In parallel, research variants explored metal s to eliminate polysilicon depletion effects and further reduce EOT; for instance, the alliance investigated tantalum-based metal s in developmental 90 nm flows, achieving up to 15% drive current improvement in prototypes before full adoption in later nodes. Refinements in (STI) addressed isolation needs for denser layouts, incorporating high-density plasma (HDP-CVD) with hydrogen etch enhancements to achieve void-free gap filling in trenches as narrow as 80-100 nm wide and 300-400 nm deep. This improved stress management and reduced compared to earlier STI processes. Additionally, silicide contacts shifted toward nickel (NiSi) over cobalt silicide (CoSi2) for source/drain and gate regions, offering 20-30% lower (around 2.5 Ω/sq) and reduced silicon consumption (1.8x vs. 3.5x the metal thickness), which minimized junction depth encroachment in scaled devices. The NiSi formation involved rapid thermal annealing of sputtered nickel films, providing better thermal stability up to 700°C than CoSi2.

Lithography and Fabrication Techniques

The 90 nm process marked a significant transition in to 193 nm argon fluoride (ArF) excimer lasers, enabling the patterning of features approaching the limit through dry systems with numerical apertures up to 0.93. This shift from previous 248 nm krypton fluoride (KrF) systems allowed for improved in critical layers such as gates and contacts, though it required aggressive management of optical effects. was the first to demonstrate fully functional 90 nm chips using 193 nm in 2004, utilizing a water-immersion to achieve numerical apertures exceeding 1.0, which enhanced by increasing the of the medium between the lens and wafer. This approach, verified with fully functional 90 nm chips, provided a pathway to extend 193 nm tools beyond dry limits without shifting to shorter wavelengths like 157 nm fluorine excimer lasers. To achieve the precise 90 nm half-pitch lines and spaces, resolution enhancement techniques (RET) such as (OPC) and (PSM) were essential. OPC computationally adjusted patterns to counteract diffraction-induced distortions, compensating for proximity effects in dense arrays like gates. Alternating PSM, which introduces a 180-degree shift in adjacent regions to enhance edge contrast through destructive interference, was applied to critical layers in 90 nm DRAM and logic devices, improving (CD) uniformity by up to 20%. These techniques, combined with off-axis illumination, enabled reliable printing of sub-100 nm features despite the wavelength-to-feature ratio exceeding 2:1. The overall fabrication process for 90 nm integrated circuits followed a front-end-of-line (FEOL) and back-end-of-line (BEOL) flow comprising 10-12 major steps, starting with wafer preparation using standard 300 mm substrates to maximize throughput and yield. introduced dopants for source/drain extensions and regions, with energies typically in the 5-20 keV to achieve shallow junctions under 50 nm deep, followed by rapid thermal annealing at 1000-1050°C for 1-5 seconds to activate dopants while minimizing . (CMP) provided planarization after deposition, ensuring uniform for subsequent layers with removal rates controlled to <500 nm/min to avoid dishing in copper interconnects. Plasma etching dominated pattern transfer, particularly for high-aspect-ratio features like gate stacks and vias exceeding 5:1 ratios, using inductively coupled plasma (ICP) systems with fluorocarbon chemistries to achieve anisotropic profiles and selectivities >20:1 over . (CVD), including low-pressure CVD (LPCVD) at 600-700°C, formed thin gate dielectrics such as nitrided oxide (~1.2 nm ), with post-deposition rapid thermal annealing in ambient to densify the film and reduce defects like interface traps. These steps, iterated across multiple metal layers, ensured the structural integrity required for high-performance 90 nm devices.

History and Adoption

Development Timeline

Initial developments of the 90 nm occurred in 2001–2002 by companies including , , and , focusing on applications such as embedded dynamic random-access memory () and . The development aligned with industry efforts to scale beyond the 130 nm node amid growing demands for higher densities. In 2002, initiated research and development on its 90 nm , marking it as a pioneering effort in transitioning to nanoscale fabrication on 300 mm wafers, with initial demonstrations focusing on strained silicon s. Similarly, showcased a 90 nm silicon-on-insulator (SOI) in 2002, emphasizing low-power applications through collaborative R&D. By 2003, pilot productions from 130 nm processes had matured sufficiently to support transitions, with planning volume manufacturing of its 90 nm "Prescott" core for late 2003, though delays shifted this to early 2004. Production ramp-up accelerated in 2004, in line with the International Technology Roadmap for Semiconductors (ITRS), which targeted the 90 nm node for entry into high-volume manufacturing between the first and fourth quarters of the year to meet DRAM half-pitch specifications. launched its 90 nm process commercially in February 2004 with the Prescott-based processors, achieving initial yields on 300 mm wafers and enabling over 100 million transistors per die. Concurrently, alliances such as with and advanced 90 nm logic technologies, with gaining access to 's 90 nm for system-on-chip production starting in 2004. entered volume production of its 90 nm process in the third quarter of 2004, utilizing low-k dielectrics and 300 mm wafers exclusively, which supported customer tape-outs and first-pass silicon deliveries. In December 2004, became the first company to produce fully functional 90 nm chips using technology. Adoption broadened in 2005, as memory manufacturers integrated the node for cost-effective scaling. Elpida Memory qualified its 90 nm process for production in early 2005, enabling 512 Mbit devices with reduced chip sizes around 70 mm² through optimized KrF lithography. This period saw global sales reach $227.7 billion in 2004, a 28.6% increase from 2003, fueling investments in 90 nm ramps despite economic recoveries from prior downturns. By 2006, the 90 nm node achieved widespread use across logic and memory ICs before the industry shifted toward 65 nm introductions by major foundries and , reflecting a two-year production cycle per the ITRS. This transition underscored the node's role in bridging sub-100 nm scaling challenges, with cumulative shipments exceeding expectations for embedded and high-performance applications.

Major Manufacturers and Alliances

pioneered the commercial volume production of the 90 nm process, achieving first shipments in 2004 through its in-house fabrication facilities, including the D1C fab in , which utilized 300 mm wafers and 193 nm lithography. This marked 's transition from the 130 nm node, incorporating innovations like strained silicon transistors to enhance performance. As the leading pure-play , TSMC initiated 90 nm volume production in the third quarter of 2004. TSMC's Nexsys 90 nm platform supported low-power, general-purpose, and high-performance variants, enabling broad adoption among fabless customers and contributing to its dominant position in the market. The Common Platform alliance, spearheaded by , facilitated cost-sharing and IP collaboration for 90 nm development among key players including Chartered Semiconductor, , and . joined subsequently. This extension of IBM's earlier copper interconnect initiatives, often referred to as the Copper Alliance, accelerated the rollout of nano-scale logic platforms. Other notable manufacturers included (UMC), which achieved strong industry acceptance for its 90 nm system-on-chip process by 2005, and , which applied the node to analog and mixed-signal integrated circuits. By 2006, the 90 nm process had become a cornerstone of advanced logic production, with major foundries like and UMC reporting robust demand and volume ramps, though initial yield challenges during transitions—such as those related to new photoresists—impacted early manufacturing costs across the industry.

Products and Applications

Microprocessors and GPUs

The 90 nm process facilitated significant advancements in and GPU designs, particularly through increased transistor densities that enabled higher performance while navigating thermal and power limitations. This era saw the widespread adoption of multi-core architectures in CPUs to sustain performance gains amid rising power densities, a shift often termed the "power wall," where single-core clock speed increases became inefficient due to power consumption growth. Intel's Prescott core, introduced in 2004, represented a key single-core implementation on 90 nm, supporting clock speeds from 3.0 GHz to 3.8 GHz with 125 million transistors integrated on a 112 mm² die. This design incorporated enhancements like improved branch prediction and larger L2 cache to boost and floating-point performance, though it highlighted power challenges with thermal design powers reaching up to 115 W. Building on this, Intel's Smithfield in 2005 marked the company's first dual-core , combining two Prescott cores on a single 206 mm² die with 230 million transistors; models like the 820 operated at 2.8 GHz with a 95 W TDP, emphasizing multi-threaded workloads to improve efficiency over single-core scaling. AMD leveraged the 90 nm node for its lineup, with the core—a shrink of the previous 130 nm —debuting in 2004 at speeds up to 2.4 GHz (as in the 3800+) and featuring around 76 million transistors on an 84 mm² die, delivering strong single-threaded performance for consumer desktops via its integrated . The core, a 90 nm revision of the architecture also released in 2004-2005, similarly targeted up to 2.4 GHz with around 114 million transistors on a 115 mm² die, focusing on cost-effective upgrades for existing platforms. For servers, AMD's processors transitioned to 90 nm in 2004, exemplified by the single-core 248 at 2.2 GHz with 106 million transistors, providing robust multi-processor scalability for enterprise applications. Beyond x86 CPUs, the IBM-led Cell Broadband Engine, fabricated on 90 nm SOI in 2006 for the console, integrated a PowerPC with eight synergistic elements, totaling 234 million transistors on a 221 mm² die; this heterogeneous design excelled in parallel multimedia tasks, achieving peak performance of 230 GFLOPS while managing a 70-100 W power envelope. In the GPU domain, NVIDIA's GeForce 7900 GTX, launched in 2006 as an early 90 nm flagship, employed the G71 chip with 278 million transistors on a 196 mm² die, supporting 24 pixel shaders and 512 MB GDDR3 memory for superior 9 rendering at resolutions up to 2560x1600, underscoring the process's role in enabling denser, more efficient graphics acceleration.

Memory Devices and Other ICs

The 90 nm process enabled significant advancements in () production, particularly for devices, by allowing higher densities and improved efficiency through reduced feature sizes. Elpida initiated volume production of a 512 Mb using this process in 2005, achieving a compact die size of 69.9 mm² that supported high-speed variants like DDR2-533 and DDR2-667 for and PC applications. This configuration yielded an effective cell size of approximately 0.13 µm² per bit, enhancing bit density while maintaining compatibility with existing module standards. Similarly, became the first to mass-produce a 1 Gb at 90 nm in June 2005, with configurations for x4, x8, and x16 modules targeted at high-density environments; the process contributed to lower power consumption and reduced overheating compared to prior nodes. In , the 90 nm node facilitated early high-capacity devices, advancing storage applications in portable and systems. and jointly introduced the industry's first 4 Gb single-die () chip using 90 nm technology in 2004, with mass production ramping up in Q3 of that year at their facility; this device, designated TC58NVG2D4BFT00, enabled 512 MB capacities in TSOP packages and supported faster write speeds via optimized cell control. An 8 Gb stacked variant followed, doubling density through die stacking while leveraging the same process for cost-effective scaling. Beyond standalone memory, the 90 nm process supported diverse non-processor integrated circuits, including analog and mixed-signal devices as well as system-on-chips (SoCs) with embedded memory. utilized 90 nm technology for its TMS320C6455 fixed-point (), released around 2006, which integrated mixed-signal elements like phase-locked loops (PLLs) for and supported applications in video and broadband processing with up to 1.2 GHz operation and 2 MB of on-chip L2 . In SoC designs, Freescale Semiconductor's i.MX31 processor, announced in 2005, employed a 90 nm low-power process with dual-threshold voltage (Vt) transistors to balance performance and leakage; it featured embedded memory including 16 KB L1 instruction and data caches, 128 KB unified L2 cache, 16 KB , and 32 KB ROM, enabling efficient decoding (e.g., MPEG-4 and H.264) in portable devices like PDAs and consoles. These integrations highlighted the node's ability to embed high-density memory—such as cells around 1.25 µm²—directly into SoCs for reduced and power in workflows.

References

  1. [1]
    90 nm – Knowledge and References - Taylor & Francis
    90 nm refers to the minimum feature length of a semiconductor technology, specifically in the context of CMOS. It is a lithography node used to designate ...
  2. [2]
    [PDF] OVERALL ROADMAP TECHNOLOGY CHARACTERISTICS
    The increased power consumption is driven by higher chip operating frequencies, the higher interconnect overall capacitance and resistance and the increasing ...
  3. [3]
    Intel adopts strained silicon for 90-nanometer process - EE Times
    Aug 13, 2002 · Intel is already using 90-nm technology at its 300-mm wafer development fabrication facility here for the trial manufacture of 52-Mbit SRAMs.
  4. [4]
    Intel at 90nm | The Chip History Center
    In 2002, 90nm was the cutting edge of semiconductor process technology. It marks the point where the semiconductor industry made the transition from microchips ...Missing: node key features<|control11|><|separator|>
  5. [5]
    Intel Unveils World's Most Advanced Chip-Making Process
    This new 90 nm (a nanometer is one-billionth of a meter) process combines higher-performance, lower-power transistors, strained silicon, high-speed copper ...
  6. [6]
    90nm Technology - Taiwan Semiconductor Manufacturing
    TSMC became the first semiconductor company to produce fully-functional 90nm chips using immersion lithography technology.Missing: key | Show results with:key
  7. [7]
    Semiconductor Technology Node History and Roadmap - AnySilicon
    The 90nm process node was introduced in 2004 by AMD, Infineon, Texas Instruments, IBM, and TSMC. In 2006, Intel, AMD, IBM, UMC, Chartered and TSMC introduced ...
  8. [8]
    TI unveils 90-nm process technology - EE Times
    Combined with the smaller capacitance of the scaled-down transistors, per-gate power consumption will drop from 10.7 microwatts per gigahertz per gate (for the ...
  9. [9]
    Strained Transistors - REFERENCE PMOS-strained - Intel
    Intel made a significant breakthrough in the 90nm process generation by introducing strained silicon on both the N and PMOS transistors. NMOS strain was ...
  10. [10]
    A 90 nm Logic Technology Featuring 50nm Strained Silicon ...
    Strained silicon is used to increase saturated NMOS and PMOS drive currents by. 10-20% and mobility by > 50%. Aggressive design rules and unlanded contacts ...
  11. [11]
    Intel strains ahead with 90nm - News - Silicon Semiconductor
    Oct 28, 2003 · Intel gave details of a strained silicon transistor that is being used in its next-generation 90nm process. The process is to be ramped this ...
  12. [12]
    Logic Node - Process Technology - Samsung Semiconductor
    Started mass production in 2006​​ Along with a 30% increase in speed, the 90nm process pushes boundaries as a solution for high-density non-volatile memory (NVM) ...
  13. [13]
    Extended 90 nm CMOS technology with high manufacturability for ...
    Aug 6, 2025 · Our low-standby-power, 90 nm transistor consumes only 10% of the standby power consumed by 130 nm transistors, and this is achieved with no ...
  14. [14]
    90 Nanometer Gate Length. - University of Cambridge
    90 Nanometer Gate Length. ; Drawn Gate Length, 0.08, µm ; Metal Layers, 6 to 9, layers ; Max Gate Density, 400K, gates/mm² ; Finest Track Width, 0.25, µm ; Finest ...
  15. [15]
    (PDF) A 90-nm Logic Technology Featuring Strained-Silicon
    In 2002, Intel took the lead in applying local strained silicon channel mobility enhancement technology to 90 nm node CMOS integrated chip manufacturing.
  16. [16]
    [PDF] Transistor Design for 90 nm-Generation and Beyond
    In this paper, we review recent trends in MOSFET scaling such as the aggressive scal- ing of gate length, the decrease in on-current with scaling, ...
  17. [17]
    A 90-nm CMOS device technology with high-speed, general ...
    A leading edge 90nm bulk CMOS device technology is described in this paper. In this technology, multi Vt and multi gate oxide devices are offered to support ...
  18. [18]
    Technology Node - WikiChip
    Oct 5, 2025 · At the 45 nm process, Intel reached a gate length of 25 nm on a traditional planar transistor. At that node the gate length scaling ...
  19. [19]
    [PDF] CMOS Scaling Trends and Beyond - Duke Computer Science
    Robert Dennard and colleagues described in 1974 a scaling methodology for metal-oxide-semiconductor field-effect transistors (MOSFETs) that would deliver ...
  20. [20]
    CMOS Leakage and Power Reduction in Transistors and Circuits
    For 130 nm, Isub, GIDL and junction leakage, cover ~95% of the overall leakage, and Igate < 5%. For 90 nm, Igate is ~40% and for 65 nm, it is >90%.
  21. [21]
    Mask inspection challenges for 90- and 130-nm device technology ...
    In this paper, we share our experience of mask inspection for the 90nm and 130nm nodes, using the advanced TeraStar mask inspection system (KLA-Tencor) with the ...
  22. [22]
    IC makers debut 90-nm processes, but struggle at 130-nm - EE Times
    Dec 29, 2002 · While chip makers were announcing their 90-nm processes, most vendors were still struggling to get their 130-nm (0.13-micron) processes and ...
  23. [23]
    [PDF] Chasing Moore's Law with 90-nm: More Than Just a Process Shrink
    The different flows are carefully targeted to achieve the right application balance between transistor performance and power consumption. For example, the low ...Missing: key | Show results with:key
  24. [24]
    [PDF] Gate Dielectric Scaling for High-Performance CMOS: from SiO2 to ...
    From SiO2 to High-K. We have implemented 1.2nm physical SiO2 in our 90nm logic technology node [1], and have scaled physical SiO2 further down to 0.8nm and ...
  25. [25]
    [PDF] Intel® Technology Journal
    Feb 18, 2004 · The 90nm process technology reduces die size by more than 15% compared to the previous 130nm process, while more than doubling the number of ...
  26. [26]
    Low-power design of 90-nm SuperH/spl trade/ processor core
    ... MIPS/W using a Renesas low-power process with lowered voltage. Its performance-power efficiency was 25% better than that of a 130-nm-process SH-X. Published ...Missing: per | Show results with:per
  27. [27]
    (PDF) 90 nm generation, 300 mm wafer low k ILD/Cu interconnect ...
    This paper presents a 90 nm generation and 300 mm wafer size interconnect technology with 7 layers of Cu metallization and low k ILD.
  28. [28]
    [PDF] A Study of Current Multilevel Interconnect Technologies for 90 nm ...
    The key technologies and production-worthy processes for sub-180 fF/mm 90 nm interconnects and beyond have been developed using dual-Damascene Cu structures and ...
  29. [29]
    A 90 nm generation copper dual damascene technology with ALD ...
    In this work, the atomic layer deposition (ALD) technique is applied for the TaN barrier process of a 90 nm generation copper dual damascene integration.
  30. [30]
    A highly effective shallow trench isolation gap-fill ... - ResearchGate
    In this study, an H2-etch enhanced HDP-CVD gap-fill process was evaluated for 90nm Flash memory device fabrication. The trench gap-fill performance has been ...Missing: refinements | Show results with:refinements
  31. [31]
    Nickel SALICIDE Process Technology for CMOS Devices of 90nm ...
    [15,16]. As. the. SC. industry. enters. 90nm. and. beyond,. NiSi. has. received. increased. attention,. driven. by. the. limitations. of. CoSi2. NiSi. has. a.
  32. [32]
    [PDF] SILICIDE-TO-SILICON SPECIFIC CONTACT RESISTANCE ...
    90-nm technology node and beyond (section 1.1.2, [5]). NiSi has several advantages over TiSi2 and CoSi2. These advantages include low sheet resistance on ...
  33. [33]
    TSMC is first to commit to 193-nm immersion litho - EE Times
    TSMC was revealed to be pursuing an immersion lithography strategy when it was reported cancelling orders for multiple 157-nm wavelength lithography machines ...Missing: 90 CMOS ArF excimer
  34. [34]
    TSMC Verifies Fully Functional 90 Nanometer Chips Using ...
    Dec 22, 2004 · TSMC Verifies Fully Functional 90 Nanometer Chips Using Immersion Lithography Tools. Findings Suggests Immersion is Nearly Ready for Production.Missing: CMOS 193 ArF excimer
  35. [35]
    Immersion lithography and its impact on semiconductor manufacturing
    Aug 7, 2025 · ArF lithography is approaching its limit past the 90-nm node. F2 lithography using 157-nm light seems to be a natural extension to the next ...
  36. [36]
    Optical Microlithography XVII | (2004) | Publications - SPIE
    May 28, 2004 · This requires several technical challenges with the use of phase shift masks (PSM), optical proximity effects corrections or liquid immersion.<|control11|><|separator|>
  37. [37]
    Advanced mask technique to improve bit line CD uniformity of 90 nm ...
    Oct 17, 2008 · We applied new scheme of mask manufacturing, which is able to realize 2 different types of mask, binary and phase-shift, into one plate.
  38. [38]
    Optical and EUV lithography - SPIE Digital Library
    Optical proximity correction (OPC) modifies the geometrical shape of the absorber layout to compensate for image degradations that result from the diffraction ...
  39. [39]
    [PDF] Semiconductor Process and Manufacturing Technologies for 90-nm ...
    Because the thickness of the gate insulation films will decrease to 1.0 nm or less in 90-nm CMOS devices as shown in Table 1, the tunnel current, which is one.
  40. [40]
    [PDF] STEP-by-step manufacturing of ULSI CMOS technologies
    ULSI CMOS manufacturing includes fundamental operations, process flow, Front End (FEOL) and Back End (BEOL) steps, and operations like oxidation, lithography, ...
  41. [41]
    [PDF] Control in Semiconductor Wafer Manufacturing
    Chemical-Mechanical Planarization (CMP) is a critical and enabling step for semiconductor fabrication interconnects technology. At the 45 nm, 32 nm, and 22 nm ...
  42. [42]
    Carbon etching with a high density plasma etcher - ScienceDirect
    This paper outlines early process development using a high density plasma from an inductively coupled etch tool, the Applied Materials Centura DPS system.
  43. [43]
    Rapid thermal annealing effects on the electrical behavior of plasma ...
    Jun 16, 2003 · We present a comparative study of the electrical and structural characteristics of metal–insulator–semiconductor (MIS) devices using ...
  44. [44]
    IBM, Intel wrangle at 90 nm - EE Times
    Dec 13, 2002 · Intel plans to make its “Prescott” Pentium 4 microprocessor with the “1262” 90-nm process, starting in late 2003, on 300-mm wafers. Advanced ...Missing: semiconductor timeline
  45. [45]
    Intel Pentium 4 Socket 775 - cpu museum - Jimdo
    On February 1, 2004, Intel introduced a new core codenamed "Prescott". The core used the 90 nm process for the first time. The "Prescott" Pentium 4 contains 125 ...
  46. [46]
    Samsung joins IBM, Chartered, Infineon IC venture; gains 90-nm ...
    A separate agreement with IBM will give Samsung license rights to 90-nm CMOS logic technology. Samsung plans to introduce IBM's logic technology for its system- ...Missing: timeline | Show results with:timeline
  47. [47]
    TSMC Announces Nexsys 90 Nanometer Volume Production
    Dec 29, 2004 · TSMC began 90nm volume production in the third quarter of 2004 following the successful delivery of numerous customer chips in first-pass ...
  48. [48]
    Elpida Begins Production of DDR2 SDRAM Using 90 nm Process ...
    Apr 20, 2005 · This state-of-the-art 90 nm process will enable Elpida to produce 512 Megabit DDR2 SDRAM devices, for example, with an incredibly small chip size of 69.9 mm 2.
  49. [49]
    Gartner sees chip market growing 20% in 2004 - EE Times
    The worldwide semiconductor market is forecast to reach nearly $210 billion, a 20 percent increase over the 2003 figure of $174 billion in 2003 ...
  50. [50]
    90 nm lithography process - WikiChip
    Mar 19, 2025 · Commercial integrated circuit manufacturing using 90 nm process began in 2003. This technology was superseded by the 80 nm (HN) / 65 nm process ...Missing: history features
  51. [51]
    TSMC Ships One-Millionth 12-Inch 90NM Wafer
    Dec 3, 2007 · TSMC's 90nm process family has low power (LP), general purpose (G), and high performance (GT) offerings. Features include multiple ultra-low, ...
  52. [52]
    IBM's R&D Partnering Model — up close and personal
    Semiconductor History ... alliance. Its members include AMD, Chartered Semiconductor, Freescale, Infineon, Samsung, Sony, not to mention the State of New York.
  53. [53]
    UMC's 90-nanometer Manufacturing Technology Sees Strong ...
    UMC's 90nm process node represents the foundry industry's most advanced production technology. The 90nm process has been developed according to UMC's SOC ...
  54. [54]
    How Intel, TI fare at 90 nm - EE Times
    Jan 10, 2005 · The final issue to consider is manufacturing cost, from both a finished-wafer and a yield perspective. Using 90-nm process technology requires ...
  55. [55]
    Intel Pentium 4 2.40 Specs - CPU Database - TechPowerUp
    The Intel Pentium 4 2.40 was a desktop processor with 1 core, launched in March 2004. It is part of the Pentium 4 lineup, using the Prescott architecture ...
  56. [56]
    Aptiva's cpu collection - View details on AMD Athlon 64 3800+ ...
    AMD Athlon 64 3800+ (Venice). Manufacturer, AMD. Model, Athlon 64. Architecture ... Manufacturing process, 90 nm. Die size, 84 mm², Transistors count, 76000000.
  57. [57]
    AMD Athlon 64 3800+ Specs - CPU Database - TechPowerUp
    Athlon 64 3800+ has 512 KB of L2 cache and operates at 2.4 GHz. AMD is making the Athlon 64 3800+ on a 90 nm production node using 69 million transistors.
  58. [58]
    AMD Opteron 248 Specs - CPU Database - TechPowerUp
    Opteron 248 has 1 MB of L2 cache and operates at 2.2 GHz. AMD is building the Opteron 248 on a 90 nm production process using 106 million transistors. The ...Amd Opteron 248 · Performance · Architecture
  59. [59]
    Introducing the IBM/Sony/Toshiba Cell Processor — Part II
    Feb 8, 2005 · The entire Cell is produced on a 90nm SOI process with 8 layers of copper interconnect. The Cell sports 234 million transistors, and its die ...Missing: Broadband | Show results with:Broadband
  60. [60]
    NVIDIA GeForce 7900 GTX Specs | TechPowerUp GPU Database
    NVIDIA GeForce 7900 GTX ; Foundry: TSMC ; Process Size: 90 nm ; Transistors: 278 million ; Density: 1.4M / mm² ; Die Size: 196 mm² ...
  61. [61]
    Samsung First to Produce 90nm 1 Gb DDR2 DRAM - Phys.org
    Jun 23, 2005 · It has lower power consumption, is much less prone to overheating, provides much greater signal integrity and delivers the best overall ...
  62. [62]
    Toshiba, SanDisk debut 90-nm 4-Gbit NAND flash device - EE Times
    The companies rolled out two devices, including the industry's first 4-gigabit single-die, multi-level cell (MLC) NAND chip. Toshiba also announced an 8-Gbit ...
  63. [63]
    [PDF] TMS320C6455 Fixed-Point Digital Signal Processor datasheet (Rev ...
    The C64x+™ devices are upward code-compatible from previous devices that are part of the C6000™ DSP platform. Based on 90-nm process technology and with ...
  64. [64]
    [PDF] i.MX31 and i.MX31L Multimedia Applications Processors
    To ensure the best possible performance trade-off, the i.MX31 and i.MX31L processors are manufactured using a 90-nm, low-power process to ensure minimum power.