Fact-checked by Grok 2 weeks ago
References
-
[1]
90 nm – Knowledge and References - Taylor & Francis90 nm refers to the minimum feature length of a semiconductor technology, specifically in the context of CMOS. It is a lithography node used to designate ...
-
[2]
[PDF] OVERALL ROADMAP TECHNOLOGY CHARACTERISTICSThe increased power consumption is driven by higher chip operating frequencies, the higher interconnect overall capacitance and resistance and the increasing ...
-
[3]
Intel adopts strained silicon for 90-nanometer process - EE TimesAug 13, 2002 · Intel is already using 90-nm technology at its 300-mm wafer development fabrication facility here for the trial manufacture of 52-Mbit SRAMs.
-
[4]
Intel at 90nm | The Chip History CenterIn 2002, 90nm was the cutting edge of semiconductor process technology. It marks the point where the semiconductor industry made the transition from microchips ...Missing: node key features<|control11|><|separator|>
-
[5]
Intel Unveils World's Most Advanced Chip-Making ProcessThis new 90 nm (a nanometer is one-billionth of a meter) process combines higher-performance, lower-power transistors, strained silicon, high-speed copper ...
-
[6]
90nm Technology - Taiwan Semiconductor ManufacturingTSMC became the first semiconductor company to produce fully-functional 90nm chips using immersion lithography technology.Missing: key | Show results with:key
-
[7]
Semiconductor Technology Node History and Roadmap - AnySiliconThe 90nm process node was introduced in 2004 by AMD, Infineon, Texas Instruments, IBM, and TSMC. In 2006, Intel, AMD, IBM, UMC, Chartered and TSMC introduced ...
-
[8]
TI unveils 90-nm process technology - EE TimesCombined with the smaller capacitance of the scaled-down transistors, per-gate power consumption will drop from 10.7 microwatts per gigahertz per gate (for the ...
-
[9]
Strained Transistors - REFERENCE PMOS-strained - IntelIntel made a significant breakthrough in the 90nm process generation by introducing strained silicon on both the N and PMOS transistors. NMOS strain was ...
-
[10]
A 90 nm Logic Technology Featuring 50nm Strained Silicon ...Strained silicon is used to increase saturated NMOS and PMOS drive currents by. 10-20% and mobility by > 50%. Aggressive design rules and unlanded contacts ...
-
[11]
Intel strains ahead with 90nm - News - Silicon SemiconductorOct 28, 2003 · Intel gave details of a strained silicon transistor that is being used in its next-generation 90nm process. The process is to be ramped this ...
-
[12]
Logic Node - Process Technology - Samsung SemiconductorStarted mass production in 2006 Along with a 30% increase in speed, the 90nm process pushes boundaries as a solution for high-density non-volatile memory (NVM) ...
-
[13]
Extended 90 nm CMOS technology with high manufacturability for ...Aug 6, 2025 · Our low-standby-power, 90 nm transistor consumes only 10% of the standby power consumed by 130 nm transistors, and this is achieved with no ...
-
[14]
90 Nanometer Gate Length. - University of Cambridge90 Nanometer Gate Length. ; Drawn Gate Length, 0.08, µm ; Metal Layers, 6 to 9, layers ; Max Gate Density, 400K, gates/mm² ; Finest Track Width, 0.25, µm ; Finest ...
-
[15]
(PDF) A 90-nm Logic Technology Featuring Strained-SiliconIn 2002, Intel took the lead in applying local strained silicon channel mobility enhancement technology to 90 nm node CMOS integrated chip manufacturing.
-
[16]
[PDF] Transistor Design for 90 nm-Generation and BeyondIn this paper, we review recent trends in MOSFET scaling such as the aggressive scal- ing of gate length, the decrease in on-current with scaling, ...
-
[17]
A 90-nm CMOS device technology with high-speed, general ...A leading edge 90nm bulk CMOS device technology is described in this paper. In this technology, multi Vt and multi gate oxide devices are offered to support ...
-
[18]
Technology Node - WikiChipOct 5, 2025 · At the 45 nm process, Intel reached a gate length of 25 nm on a traditional planar transistor. At that node the gate length scaling ...
-
[19]
[PDF] CMOS Scaling Trends and Beyond - Duke Computer ScienceRobert Dennard and colleagues described in 1974 a scaling methodology for metal-oxide-semiconductor field-effect transistors (MOSFETs) that would deliver ...
-
[20]
CMOS Leakage and Power Reduction in Transistors and CircuitsFor 130 nm, Isub, GIDL and junction leakage, cover ~95% of the overall leakage, and Igate < 5%. For 90 nm, Igate is ~40% and for 65 nm, it is >90%.
-
[21]
Mask inspection challenges for 90- and 130-nm device technology ...In this paper, we share our experience of mask inspection for the 90nm and 130nm nodes, using the advanced TeraStar mask inspection system (KLA-Tencor) with the ...
-
[22]
IC makers debut 90-nm processes, but struggle at 130-nm - EE TimesDec 29, 2002 · While chip makers were announcing their 90-nm processes, most vendors were still struggling to get their 130-nm (0.13-micron) processes and ...
-
[23]
[PDF] Chasing Moore's Law with 90-nm: More Than Just a Process ShrinkThe different flows are carefully targeted to achieve the right application balance between transistor performance and power consumption. For example, the low ...Missing: key | Show results with:key
-
[24]
[PDF] Gate Dielectric Scaling for High-Performance CMOS: from SiO2 to ...From SiO2 to High-K. We have implemented 1.2nm physical SiO2 in our 90nm logic technology node [1], and have scaled physical SiO2 further down to 0.8nm and ...
-
[25]
[PDF] Intel® Technology JournalFeb 18, 2004 · The 90nm process technology reduces die size by more than 15% compared to the previous 130nm process, while more than doubling the number of ...
-
[26]
Low-power design of 90-nm SuperH/spl trade/ processor core... MIPS/W using a Renesas low-power process with lowered voltage. Its performance-power efficiency was 25% better than that of a 130-nm-process SH-X. Published ...Missing: per | Show results with:per
-
[27]
(PDF) 90 nm generation, 300 mm wafer low k ILD/Cu interconnect ...This paper presents a 90 nm generation and 300 mm wafer size interconnect technology with 7 layers of Cu metallization and low k ILD.
-
[28]
[PDF] A Study of Current Multilevel Interconnect Technologies for 90 nm ...The key technologies and production-worthy processes for sub-180 fF/mm 90 nm interconnects and beyond have been developed using dual-Damascene Cu structures and ...
-
[29]
A 90 nm generation copper dual damascene technology with ALD ...In this work, the atomic layer deposition (ALD) technique is applied for the TaN barrier process of a 90 nm generation copper dual damascene integration.
-
[30]
A highly effective shallow trench isolation gap-fill ... - ResearchGateIn this study, an H2-etch enhanced HDP-CVD gap-fill process was evaluated for 90nm Flash memory device fabrication. The trench gap-fill performance has been ...Missing: refinements | Show results with:refinements
-
[31]
Nickel SALICIDE Process Technology for CMOS Devices of 90nm ...[15,16]. As. the. SC. industry. enters. 90nm. and. beyond,. NiSi. has. received. increased. attention,. driven. by. the. limitations. of. CoSi2. NiSi. has. a.
-
[32]
[PDF] SILICIDE-TO-SILICON SPECIFIC CONTACT RESISTANCE ...90-nm technology node and beyond (section 1.1.2, [5]). NiSi has several advantages over TiSi2 and CoSi2. These advantages include low sheet resistance on ...
-
[33]
TSMC is first to commit to 193-nm immersion litho - EE TimesTSMC was revealed to be pursuing an immersion lithography strategy when it was reported cancelling orders for multiple 157-nm wavelength lithography machines ...Missing: 90 CMOS ArF excimer
-
[34]
TSMC Verifies Fully Functional 90 Nanometer Chips Using ...Dec 22, 2004 · TSMC Verifies Fully Functional 90 Nanometer Chips Using Immersion Lithography Tools. Findings Suggests Immersion is Nearly Ready for Production.Missing: CMOS 193 ArF excimer
-
[35]
Immersion lithography and its impact on semiconductor manufacturingAug 7, 2025 · ArF lithography is approaching its limit past the 90-nm node. F2 lithography using 157-nm light seems to be a natural extension to the next ...
-
[36]
Optical Microlithography XVII | (2004) | Publications - SPIEMay 28, 2004 · This requires several technical challenges with the use of phase shift masks (PSM), optical proximity effects corrections or liquid immersion.<|control11|><|separator|>
-
[37]
Advanced mask technique to improve bit line CD uniformity of 90 nm ...Oct 17, 2008 · We applied new scheme of mask manufacturing, which is able to realize 2 different types of mask, binary and phase-shift, into one plate.
-
[38]
Optical and EUV lithography - SPIE Digital LibraryOptical proximity correction (OPC) modifies the geometrical shape of the absorber layout to compensate for image degradations that result from the diffraction ...
-
[39]
[PDF] Semiconductor Process and Manufacturing Technologies for 90-nm ...Because the thickness of the gate insulation films will decrease to 1.0 nm or less in 90-nm CMOS devices as shown in Table 1, the tunnel current, which is one.
-
[40]
[PDF] STEP-by-step manufacturing of ULSI CMOS technologiesULSI CMOS manufacturing includes fundamental operations, process flow, Front End (FEOL) and Back End (BEOL) steps, and operations like oxidation, lithography, ...
-
[41]
[PDF] Control in Semiconductor Wafer ManufacturingChemical-Mechanical Planarization (CMP) is a critical and enabling step for semiconductor fabrication interconnects technology. At the 45 nm, 32 nm, and 22 nm ...
-
[42]
Carbon etching with a high density plasma etcher - ScienceDirectThis paper outlines early process development using a high density plasma from an inductively coupled etch tool, the Applied Materials Centura DPS system.
-
[43]
Rapid thermal annealing effects on the electrical behavior of plasma ...Jun 16, 2003 · We present a comparative study of the electrical and structural characteristics of metal–insulator–semiconductor (MIS) devices using ...
-
[44]
IBM, Intel wrangle at 90 nm - EE TimesDec 13, 2002 · Intel plans to make its “Prescott” Pentium 4 microprocessor with the “1262” 90-nm process, starting in late 2003, on 300-mm wafers. Advanced ...Missing: semiconductor timeline
-
[45]
Intel Pentium 4 Socket 775 - cpu museum - JimdoOn February 1, 2004, Intel introduced a new core codenamed "Prescott". The core used the 90 nm process for the first time. The "Prescott" Pentium 4 contains 125 ...
-
[46]
Samsung joins IBM, Chartered, Infineon IC venture; gains 90-nm ...A separate agreement with IBM will give Samsung license rights to 90-nm CMOS logic technology. Samsung plans to introduce IBM's logic technology for its system- ...Missing: timeline | Show results with:timeline
-
[47]
TSMC Announces Nexsys 90 Nanometer Volume ProductionDec 29, 2004 · TSMC began 90nm volume production in the third quarter of 2004 following the successful delivery of numerous customer chips in first-pass ...
-
[48]
Elpida Begins Production of DDR2 SDRAM Using 90 nm Process ...Apr 20, 2005 · This state-of-the-art 90 nm process will enable Elpida to produce 512 Megabit DDR2 SDRAM devices, for example, with an incredibly small chip size of 69.9 mm 2.
-
[49]
Gartner sees chip market growing 20% in 2004 - EE TimesThe worldwide semiconductor market is forecast to reach nearly $210 billion, a 20 percent increase over the 2003 figure of $174 billion in 2003 ...
-
[50]
90 nm lithography process - WikiChipMar 19, 2025 · Commercial integrated circuit manufacturing using 90 nm process began in 2003. This technology was superseded by the 80 nm (HN) / 65 nm process ...Missing: history features
-
[51]
TSMC Ships One-Millionth 12-Inch 90NM WaferDec 3, 2007 · TSMC's 90nm process family has low power (LP), general purpose (G), and high performance (GT) offerings. Features include multiple ultra-low, ...
-
[52]
IBM's R&D Partnering Model — up close and personalSemiconductor History ... alliance. Its members include AMD, Chartered Semiconductor, Freescale, Infineon, Samsung, Sony, not to mention the State of New York.
-
[53]
UMC's 90-nanometer Manufacturing Technology Sees Strong ...UMC's 90nm process node represents the foundry industry's most advanced production technology. The 90nm process has been developed according to UMC's SOC ...
-
[54]
How Intel, TI fare at 90 nm - EE TimesJan 10, 2005 · The final issue to consider is manufacturing cost, from both a finished-wafer and a yield perspective. Using 90-nm process technology requires ...
-
[55]
Intel Pentium 4 2.40 Specs - CPU Database - TechPowerUpThe Intel Pentium 4 2.40 was a desktop processor with 1 core, launched in March 2004. It is part of the Pentium 4 lineup, using the Prescott architecture ...
-
[56]
Aptiva's cpu collection - View details on AMD Athlon 64 3800+ ...AMD Athlon 64 3800+ (Venice). Manufacturer, AMD. Model, Athlon 64. Architecture ... Manufacturing process, 90 nm. Die size, 84 mm², Transistors count, 76000000.
-
[57]
AMD Athlon 64 3800+ Specs - CPU Database - TechPowerUpAthlon 64 3800+ has 512 KB of L2 cache and operates at 2.4 GHz. AMD is making the Athlon 64 3800+ on a 90 nm production node using 69 million transistors.
-
[58]
AMD Opteron 248 Specs - CPU Database - TechPowerUpOpteron 248 has 1 MB of L2 cache and operates at 2.2 GHz. AMD is building the Opteron 248 on a 90 nm production process using 106 million transistors. The ...Amd Opteron 248 · Performance · Architecture
-
[59]
Introducing the IBM/Sony/Toshiba Cell Processor — Part IIFeb 8, 2005 · The entire Cell is produced on a 90nm SOI process with 8 layers of copper interconnect. The Cell sports 234 million transistors, and its die ...Missing: Broadband | Show results with:Broadband
-
[60]
NVIDIA GeForce 7900 GTX Specs | TechPowerUp GPU DatabaseNVIDIA GeForce 7900 GTX ; Foundry: TSMC ; Process Size: 90 nm ; Transistors: 278 million ; Density: 1.4M / mm² ; Die Size: 196 mm² ...
-
[61]
Samsung First to Produce 90nm 1 Gb DDR2 DRAM - Phys.orgJun 23, 2005 · It has lower power consumption, is much less prone to overheating, provides much greater signal integrity and delivers the best overall ...
-
[62]
Toshiba, SanDisk debut 90-nm 4-Gbit NAND flash device - EE TimesThe companies rolled out two devices, including the industry's first 4-gigabit single-die, multi-level cell (MLC) NAND chip. Toshiba also announced an 8-Gbit ...
-
[63]
[PDF] TMS320C6455 Fixed-Point Digital Signal Processor datasheet (Rev ...The C64x+™ devices are upward code-compatible from previous devices that are part of the C6000™ DSP platform. Based on 90-nm process technology and with ...
-
[64]
[PDF] i.MX31 and i.MX31L Multimedia Applications ProcessorsTo ensure the best possible performance trade-off, the i.MX31 and i.MX31L processors are manufactured using a 90-nm, low-power process to ensure minimum power.