Fact-checked by Grok 2 weeks ago

ASM International

ASM International N.V. (commonly referred to as ) is a that designs, manufactures, and services process equipment for . The company specializes in advanced deposition technologies, including (ALD), , and (CVD), enabling the production of smaller, more efficient chips for applications in , automotive, and computing. It serves leading manufacturers worldwide and supports the fabrication of over 1.1 trillion chips annually. Founded in 1968 by Arthur del Prado as Advanced Semiconductor Materials in the , ASM International is headquartered in and listed on (). As of 2025, it employs more than 4,600 people across 15 key locations in over 69 nationalities, with primary manufacturing in and R&D centers in the United States, , and . The company emphasizes innovation in materials engineering for next-generation semiconductors, including for electric vehicles and high-mobility devices. In 2024, ASM International reported net sales of €2.94 billion (US$3.17 billion), a 11% increase from the previous year, driven by demand for ALD and epitaxy tools. As of November 2025, its market capitalization stands at approximately €29 billion (US$31.7 billion). Current leadership includes CEO Hichem M'Saad (since May 2024) and CFO Paul Verhagen.

Company Profile

Overview

ASM International N.V. is a Dutch-headquartered multinational corporation that designs, manufactures, sells, and services wafer processing equipment primarily for thin-film deposition in semiconductor manufacturing. The company specializes in advanced deposition technologies essential for producing integrated circuits (ICs), with a core focus on atomic layer deposition (ALD) and epitaxy processes that enable precise control at the atomic scale. These tools are supplied to leading semiconductor manufacturers worldwide, supporting the fabrication of smaller, more efficient chips critical to modern electronics. ASM's mission is to enable the development of next-generation microchips that power transformative applications, including artificial intelligence (AI), next-generation healthcare, , and energy-efficient devices. By shaping materials at the nanoscale, the company contributes to advancements in performance, power efficiency, and functionality in semiconductor devices, addressing the growing demands of the . With over 50 years of innovation since its founding in , ASM employs more than 4,600 people across diverse nationalities as of 2024 and maintains leadership in atomic-scale technologies through a robust portfolio of approximately 3,400 patents in force as of 2024. This foundation underscores its role as a key enabler in the industry's evolution toward more advanced and sustainable computing solutions.

Leadership

ASM International's leadership is structured under a two-tier board system typical of corporations, consisting of a responsible for day-to-day operations and strategy execution, and a that oversees the and provides guidance on long-term direction. The current Chair of the and is Hichem M'Saad, appointed on , 2024. M'Saad joined ASM in 2015 as Senior and of the Thermal Products business unit, advanced to Executive and of Global Products in 2019, and served as and member from 2022 until his CEO appointment. Prior to ASM, he held senior roles at , including process engineering and corporate vice presidencies in dielectric systems and chemical mechanical planarization (CMP), with a focus on ; he also served as CEO of a photovoltaic startup. M'Saad holds a BS from the , an MS from , and a PhD in from . Historically, ASM's CEO role has seen transitions reflecting family legacy and external expertise. Founder served as CEO from the company's inception in 1968 until 2008. His son, , succeeded him as CEO, Management Board Chair, and President effective March 1, 2008, serving until 2020, following a retirement announcement in 2019. was appointed CEO, President, and Management Board Chair in 2020, serving until his retirement on May 13, 2024. The Management Board currently comprises two members: Hichem M'Saad as CEO and as , appointed June 1, 2021, and reappointed until 2027. Verhagen brings extensive finance experience from roles at Royal Philips and N.V. The broader Executive Committee supports the Management Board, including key roles such as Senior Vice President of Global Operations (Hakan Erdemir) and Chief People Officer (Edyta Jakubek). The , which supervises the Board and advises on strategic matters, is chaired by Pauline van der Meer Mohr, appointed in 2021 and reappointed as Chair in 2024. Other members include Martin van den Brink (former CTO of ), Marc de Jong, Stefanie Kahle-Galonske, Didier Lamouche, Tania Micki, and Adalio Sanchez, selected for their expertise in technology, , and to ensure independent oversight. The board operates through committees, including (chaired by van der Meer Mohr), Compensation, Nominating, and , to address specific areas. ASM's governance practices emphasize ethical standards, transparency, and alignment with interests, as outlined in its principles. Central to this are the company's core values—We Innovate, We Deliver, We Care—which guide leadership decisions, foster a of innovation in semiconductor technologies, ensure reliable product delivery, and prioritize employee and community well-being. These values underpin the governance framework, promoting sustainable growth and accountability.

Technologies

Research and Development

ASM International invests significantly in to advance semiconductor deposition technologies, with annual R&D expenditure reaching €151 million in 2021, representing 9% of that year's revenue. This investment has shown sustained growth, maintaining levels around 10-12% of revenue through 2024 as of 2024, as the company allocated €369.8 million in 2024 amid expanding market demands for processing. In 2025, adjusted net R&D spending continued to increase, up 35% year-over-year in Q1. The company's R&D operations are supported by dedicated centers in , ; , ; , ; , ; Tama, Japan; and Dongtan, , enabling localized innovation across key global semiconductor hubs. Approximately 25% of ASM International's workforce is devoted to R&D activities, fostering expertise in thin-film deposition and process optimization. Key focus areas include the development of novel materials for chip manufacturing, enhancements in (ALD) and processes to achieve greater uniformity and scalability, and expansion of the patent portfolio, with 2,953 patents actively in force worldwide as of 2023 (reaching approximately 3,400 by 2024). These efforts prioritize atomic-scale precision essential for next-generation transistors and memory devices. Innovation is driven by strategic collaborations with leading semiconductor manufacturers and research institutes, allowing ASM International to co-develop solutions tailored to evolving industry needs in advanced logic and memory fabrication. Such partnerships have accelerated the integration of R&D outcomes into production tools for ALD applications.

Products

ASM International specializes in advanced wafer processing equipment for thin-film deposition, primarily serving the semiconductor industry. Its product portfolio focuses on atomic layer deposition (ALD), epitaxy, and other chemical vapor deposition (CVD) tools designed for high-precision applications in logic, memory, and power devices. These tools enable the fabrication of nanoscale structures essential for advanced nodes, including those used in electric vehicles through silicon carbide (SiC) processing.

Atomic Layer Deposition (ALD) Tools

ASM's ALD tools provide atomic-scale control for conformal thin films, critical for high-k s, stacks, and interconnects in advanced devices. The Synergis platform is a modular ALD system configurable with up to four dual chamber modules (DCMs) for eight chambers total, offering a compact footprint and high productivity for a wide range of ALD processes in manufacturing. The Pulsar XP4 utilizes an advanced AXIS reactor for depositing high-k materials, supporting applications in advanced high-k metal s. For , the EmerALD XP4 serves as a process module for thin conformal metal and layers via ALD, targeting advanced stacks. Advanced platforms include the Eagle XP8 PEALD, a high-productivity 300mm plasma-enhanced ALD tool with up to four DCMs for eight chambers, and the XP8 QCM PEALD, optimized for processes like and in logic and at advanced nodes.

Epitaxy Tools

Epitaxy tools from ASM deposit highly controlled crystalline silicon-based films, enabling strained for enhanced performance and structures. The Intrepid ES is a 300mm single-wafer system featuring an isothermal reactor with closed-loop control and up to four process modules on the XP cluster platform, supporting thick epitaxial deposition in a single pass for CMOS channel layers (, ), source/drain regions, 3D-NAND, , and analog/power devices. The Epsilon 2000 is a reduced-pressure tool for 150mm and 200mm s, using integrated lamp heating and a patented wand for non-contact transfer, achieving high deposition rates at low temperatures with Silcore precursors for selective/non-selective doped layers in s, , analog mixed-signal, , BiCMOS, and deep fill for power devices. These tools also support SiC for power applications in electric vehicles.

Other Deposition Tools

ASM offers complementary CVD tools for dielectric films and thermal processes, enhancing throughput in semiconductor fabrication. The Dragon XP8 is a high-productivity 300mm PECVD system configurable with up to four DCMs for eight chambers, featuring low-volume chambers for efficient gas use and applications including interlayer dielectrics (TEOS-SiO, SiH4-SiO), passivation (SiN), anti-reflective layers (SiN, SiON), etch stops (SiN), through-silicon via films (SiO, SiN), and 3D memory stack dielectrics. For batch processing, the A400 DUO vertical furnace handles 200mm and smaller wafers with dual reactors and boats, supporting LPCVD for polysilicon (undoped/in-situ doped), silicon nitride, silicon oxide (TEOS), diffusion, oxidation, annealing, and batch ALD for metal oxides/nitrides and SiO/SiN in power, analog, RF, and MEMS devices. The Sonora is a 300mm vertical furnace with dual reactors and up to 150-wafer batches, delivering 30% higher productivity than predecessors through innovative gas injection for uniformity, used for LPCVD (polysilicon, silicon nitride, silicon oxide), atmospheric diffusion, dopant activation, annealing, oxidation, and batch ALD in logic, foundry, memory, and analog/power applications. These tools collectively address thin-film deposition needs for advanced nodes, prioritizing low cost of ownership and repeatability.

History

Founding and Early Years

ASM International was founded on October 4, 1913, as The Steel Treaters Club in , , by William Park Woodside, to provide a forum for sharing knowledge on heat-treating amid industrial growth. During , it evolved into the Steel Treating Research Society, with membership reaching 1,250 by 1918. In 1919, a faction formed the American Steel Treaters Society, leading to reunification in September 1920 as the American Society for Steel Treating (ASST), with around 2,750 members and 27 chapters. The first official meeting and Metal Show occurred in in 1920. In 1921, Col. Albert E. White became , and the launched its Transactions publication. The first data sheets were published in 1923, forming the basis for the ASM Handbook series. In 1933, the organization broadened its scope to all metals and renamed itself the American for Metals (). William Hunt Eisenman served as national secretary from 1921 to 1958. By the 1950s, ASM had established the Materials Education Foundation in 1952 and the Metals Engineering Institute (now ASM Education) in 1954.

Expansion and Innovations

ASM hosted the World Metallurgical Congress in 1951, attracting 510 delegates from 39 countries, and relocated its headquarters to Metals Park (now Materials Park), , in 1959, with Allan Ray Putnam as the first managing director. The society launched Metal Progress magazine in 1930 and expanded the ASM Handbook into a multi-volume series by 1976. Annual Metal Shows ran from 1920 to 1973, followed by specialized events like the ASM Heat Treating Conference in 1974 and Metals Week in 1981. In 1986, reflecting its focus on engineered materials such as composites, ceramics, polymers, and electronic materials, along with global outreach, the organization rebranded as ; Edward L. Langer served as managing director from 1985 to 1996. The 75th anniversary in 1988 featured the World Materials Congress with 12,000 participants. Subsequent leaders included Dr. Michael J. DeHaemer (1996), Stanley C. Theobald (2002), and Thomas S. Passek (2012). The in 2013 included the launch of the Cleveland Memory Project. In 1999, the ASM Handbook became available on CD-ROMs, and a $3 million Training Center opened. Recent innovations include Materials Camp programs since the through the ASM Materials Education Foundation, partnerships like MS&T, and the Global Community Information Network in the . A of Materials Park followed the 2013 . As of 2023, strategic priorities emphasize , interdisciplinary growth, globalization, and .

Operations

Global Presence

ASM International employs over 4,600 people representing more than 69 nationalities, fostering a diverse across its . This multinational composition underscores the company's commitment to inclusion and belonging, which it promotes through policies that value diverse perspectives to drive , , and . The company's headquarters is located in , , with major sites in the United States (Phoenix, Arizona), (Tama), (Dongtan), (Helsinki), (Leuven), as a key hub, and . These locations support a network of subsidiaries, including ASM Korea Ltd., ASM Front-End Taiwan, ASM China Ltd., and ASM Front-End Mfg (S) Ltd. in , enabling efficient regional operations. ASM International maintains sales and service operations across , the region, and the , primarily serving leading manufacturers such as , , and . This global footprint facilitates close collaboration with these chipmakers to deliver advanced deposition equipment tailored to their needs. At the core of ASM International's global operations is a emphasizing innovation, delivery, and care, which guides employee interactions and promotes global teamwork and respect for diverse backgrounds. This values-driven approach enhances cross-cultural collaboration, ensuring the company remains agile in serving its international customer base.

Facilities and Manufacturing

ASM International's manufacturing operations are centralized at its primary hub in , where the company assembles and tests advanced deposition tools, including those for (ALD) and (Epi) processes essential to production. This facility, which achieved Green Mark Gold Plus certification for its energy-efficient design, quadrupled its capacity upon completion of a second-floor expansion in early 2023, enhancing global production flexibility to meet rising demand for leading-edge semiconductors. In addition to Singapore, ASM maintains R&D-linked production facilities at key sites worldwide. The Phoenix, Arizona, location (including the expanded Scottsdale headquarters) supports pilot and engineering for tools, with a €300 million investment over five years more than doubling the facility's footprint to 250,000 square feet and focusing on thin-film deposition . In Tama, , operations emphasize process development and production tied to ALD technologies, contributing to advancements in (PECVD) and related thin-film applications. The Dongtan site in serves as a regional and center, bolstered by a $100 million multi-year expansion to support local production needs and R&D collaboration. ASM's supply chain prioritizes high-precision components designed for environments, ensuring reliability in fabrication. The company integrates into its manufacturing practices, such as developing high-efficiency components and compact system designs that reduce footprints and resource consumption, aligning with its 2021-announced ambition by 2035 and intermediate targets like the achievement of 100% renewable electricity across operations in 2024. To support customer operations, ASM operates a global service network that handles tool installation, maintenance, and spare parts provisioning, maximizing uptime in fabrication plants (fabs) through rapid response and cost-effective solutions. This network leverages the company's 15 key locations and over 4,600 employees to deliver worldwide support for deposition equipment reliability.

Financial Performance

ASM International operates as a non-profit 501(c)(3) organization, and its financial performance is reported through annual financial statements and IRS Form 990 filings, focusing on revenue from membership dues, publication sales, conference registrations, and educational programs, rather than commercial product sales or stock performance. Detailed public financial disclosures are limited compared to for-profit entities.

Revenues and Earnings

The society's primary revenue sources include membership fees (approximately 20,000 members), sales of ASM Handbooks and journals, and proceeds from conferences and training programs. As of fiscal year 2023 (latest detailed public data available), total revenue was approximately $28.5 million, with expenses of $27.2 million, resulting in a modest surplus supporting ongoing operations and scholarships. In early 2025, ASM leadership reported that year-to-date financial performance was surpassing expectations, emphasizing stability amid strategic investments in and global outreach. Specific 2024-2025 figures are not publicly detailed as of November 16, 2025, but the organization maintains a strong with net assets exceeding $100 million, funding initiatives like the Materials Education Foundation scholarships (over $2.4 million awarded since 2000). No traditional "earnings" metric applies, as surpluses are reinvested into educational and community programs rather than distributed to shareholders.

Market Capitalization and Stock Performance

As a non-profit , ASM International is not publicly traded and has no or stock performance. It is governed by a volunteer board and funded through member contributions and program revenues, with accountability to its membership base across 30+ countries.

References

  1. [1]
    Home - ASM International
    ### Summary of ASM International
  2. [2]
    None
    ### Summary of ASM International Overview and Strategic Plan (2023)
  3. [3]
    History of ASM - ASM International
    ### Summary of ASM International History
  4. [4]
    Our story - ASM
    ASM (Advanced Semiconductor Materials) was founded in the Netherlands in 1968, at the very start of the semiconductor industry.
  5. [5]
    Our company - ASM
    ASM supplies wafer processing equipment to the leading semiconductor manufacturers, mostly for the deposition of thin films. Find out more about us.Our story · Mission & purpose · Strategy
  6. [6]
    Our technology & products | ASM
    ... ASMers work in R&D. 3390+ patents in force. 7 R&D centers. Technology and products. We have a proven track record of innovation, spanning a wide range of ...ALD (Atomic Layer Deposition) · Epitaxy · Pecvd · Silicon carbide<|control11|><|separator|>
  7. [7]
    Management and supervision - ASM
    Composition ; Hichem M'Saad, CEO, US and Tunisian ; Paul Verhagen, CFO, Dutch ; Hakan Erdemir, Senior Vice President Global Operations, US and Turkish ; Edyta ...Management Board · Executive Committee · Committees' Structure And...
  8. [8]
    Benjamin Loh to retire, Hichem M'Saad to succeed him as new CEO
    Feb 11, 2024 · CEO Benjamin Loh will retire and step down as per the AGM on May 13, 2024. He will be succeeded by Hichem M'Saad, currently member of the Management Board and ...Missing: end | Show results with:end
  9. [9]
    Management Board bios | ASM
    Mr. M'Saad was appointed as Chair of the Management Board and Chief Executive Officer on May 13, 2024. Hichem joined ASM in 2015 as the senior vice ...
  10. [10]
    asm international nv announces the retirement of ceo chuck del prado
    Sep 30, 2019 · In 2008, Mr. Del Prado was appointed as CEO, Chairman of the Management Board and President of the company. Mr. Del Prado has indicated that ...
  11. [11]
    ASM INTERNATIONAL N.V. ANNOUNCES NEW CEO (2004465)
    Mar 23, 2020 · The Supervisory Board of ASM International NV (Euronext Amsterdam: ASM) today announced the nomination of Benjamin Loh as CEO, President and Chairman of the ...Missing: Arthur | Show results with:Arthur
  12. [12]
    Supervisory Board bios - ASM
    The Supervisory Board supervises and advises the Management Board and Executive Committee. Explore their bios and skills and expertise matrix here.Pauline Van Der Meer Mohr... · Martin Van Den Brink... · Marc De Jong - Member Of The...
  13. [13]
    Corporate governance principles - ASM
    ASM aspires to high standards of ethical practices and sound corporate governance, a key component of our culture, behavior, and management. Find out more.
  14. [14]
    Our culture | ASM
    Our shared global culture starts with three core values: We Innovate, We Deliver, We Care. They guide how we act every day, empowering everyone at ASM to ...Life At Asm · Our Values · WellbeingMissing: practices | Show results with:practices
  15. [15]
    Our purpose and mission - ASM
    ... core values: We Innovate, We Deliver, We Care. They are the foundation that drives us to excel in everything we do. With passion and impact, we innovate. We ...Our Impact · Culture At Asm · Our ValuesMissing: practices | Show results with:practices
  16. [16]
    [PDF] ASM International NV Reports Fourth Quarter 2021 Results
    Feb 22, 2022 · Net R&D expenses were 8.7% of revenue in 2021 compared to 10.5% in the same period in 2020. Excluding impairments and IFRS effects, the ...
  17. [17]
    R&D Expenses For ASM International NV (AVS) - Finbox
    ASM International's r&d expenses increased in each of the last 5 fiscal years from 139 million in 2020 to 369.8 million in 2024. Metric Usage: R&D Expenses.Missing: expenditure | Show results with:expenditure
  18. [18]
    [PDF] ASM Q4 and FY 2024 results
    Feb 25, 2025 · Adjusted gross R&D increased 7% qoq and increased by 14% yoy as reported. Adjusted net R&D expenses increased 34% yoy at cc and 33% as reported, ...
  19. [19]
    Frequently Asked Questions @ ASM Manufacturing - Sign In
    The company has R&D offices in Almere (Netherlands), Helsinki (Finland), Leuven (Belgium),Phoenix (Arizona), Tama (Japan), and Dongtan (South Korea).
  20. [20]
    [PDF] ASMI Investor Day 2021 Presentation
    Sep 28, 2021 · R&D site. Customer. R&D partner. • €172 million gross R&D spending (13% intensity). • 613 permanent R&D employees, 26 nationalities. • of which ...
  21. [21]
    Innovation - ASM
    As a global company with R&D centers in seven countries, we have the advantage of R&D taking place not at one central site but on different continents around ...
  22. [22]
    Epitaxy | ASM
    Epitaxy (or Epi) is the process of depositing highly controlled silicon-based crystalline films. Find out how this process works and what makes it crucial.
  23. [23]
    Our strategy | ASM
    We are a focused deposition equipment provider in the semiconductor wafer fab equipment (WFE) market. Our principal technologies are ALD and epitaxy.
  24. [24]
    Intrepid​​​® ES™ Epitaxy - ASM
    The Intrepid​​​® ES™ is our most advanced epitaxy deposition tool for the most critical 300mm applications for advanced transistors and memories. Read on.
  25. [25]
    Epsilon® 2000 Epitaxy - ASM
    Our Epsilon® 2000 single-wafer epitaxy tool offers a wide variety of epitaxy applications for 150mm and 200mm wafers. Find out more about it.
  26. [26]
    Dragon ® XP8 PECVD - ASM
    Dragon XP8 is a high productivity PECVD tool that addresses a broad range of dielectric films for various low-temperature deposition applications.
  27. [27]
    A400® DUO vertical furnace - ASM
    A400 DUO is a batch vertical furnace for 200mm and smaller wafers, and focuses on applications in the markets for power, analog, RF, and MEMS devices.
  28. [28]
    SONORA® 300mm vertical furnace - ASM
    SONORA® is a 300mm batch vertical furnace for logic/foundry and memory applications, as well as 300mm analog/power. Read about it and its applications.
  29. [29]
    Vertical furnace - ASM
    A400 DUO is a batch vertical furnace for 200mm and smaller wafers, and focuses on applications in the markets for power, analog, RF, and MEMS devices. The A400 ...
  30. [30]
    ASML's founding story: our roots in the semiconductor industry
    Apr 3, 2024 · ASML was established as ASM Lithography on April 1, 1984. The joint venture from Philips and ASM International had a mandate: to commercialize a wafer stepper.
  31. [31]
    An overview of ASMPT's History
    Since our founding in 1975, ASMPT has continuously evolved and transformed itself through sustained growth, driven by innovation and strategic acquisitions.Missing: 1970s | Show results with:1970s
  32. [32]
    [PDF] statutory annual report 2015 | asm
    Apr 13, 2016 · Within this market we focus on the following segments: vertical furnaces, epitaxy, PECVD and ... market growth and estimated costs as well as.
  33. [33]
    ASM COMPLETES ACQUISITION OF LPE (2527162)
    Oct 3, 2022 · On July 18, 2022, ASM entered into a definitive agreement under which it would at closing acquire all of the outstanding shares of LPE, an ...
  34. [34]
    Share performance - ASM
    ASM's shares are listed on the Euronext Stock Exchange in Amsterdam (ASM) where ASM is included in the AEX index, and on the OTCQX market.
  35. [35]
    [PDF] Growth through innovation - ASM
    May 15, 2023 · Dual-batch reactors for high volume manufacturing of LPCVD, diffusion and oxidation applications. • SONORA achieves 30% higher productivity ...Missing: International | Show results with:International
  36. [36]
    Sustainability - ASM
    We demonstrate ongoing progress toward our goal of net-zero emissions by 2035, in line with our SBTi reduction pathways. We also continue to source 100% of our ...
  37. [37]
    ALD (Atomic Layer Deposition) | ASM
    ### Summary of ALD Products from ASM
  38. [38]
    [PDF] Policy regarding Inclusion, Diversity & Belonging - ASM
    Apr 29, 2025 · By valuing this diversity of thought, we foster innovation, collaboration, and creativity. Inclusion and belonging form the foundation of ...
  39. [39]
    ASM in Arizona
    We are building a new North American headquarters to house our largest R&D center in the world. 975+ employees in Arizona. 49+ years in Arizona.
  40. [40]
    Celebrating 25 years of ASM in Singapore
    Today, with our state-of-the-art facility at Woodlands Height, our Singapore base has evolved into the company's centralized manufacturing and operations hub, ...
  41. [41]
    Affiliate list - ASM
    Affiliate list ; 15. ASM Korea Ltd. ; 16. ASM Front-end Taiwan ; 17. ASM China Ltd. ; 18. ASM Front-End Mfg (S) Ltd ; 19. ASM International N.V..
  42. [42]
    ASM International to invest $300 mln in Arizona expansion - Reuters
    Dec 5, 2023 · "Intel and TSMC are some of our biggest customers, so being able to collaborate with them on R&D benefits the whole semiconductor industry ...
  43. [43]
    ASM INTERNATIONAL UNVEILS EXPANSION IN SINGAPORE TO ...
    Want to learn what it's like to work at ASM? ... Visit our career website. Go to careers.
  44. [44]
    ASM announces €300M expansion of US operations in Scottsdale ...
    Dec 5, 2023 · The investment will focus on technology development, research, design and engineering capabilities, and pilot manufacturing capacities.
  45. [45]
  46. [46]
    ASM SET TO INVEST AROUND $100M IN KOREAN R&D ...
    Feb 2, 2023 · ASM intends to significantly expand its manufacturing and innovation center in Dongtan, South Korea, through a multi-year investment totaling around US$100 ...Missing: Phoenix Tama
  47. [47]
    Sustainable innovation and Ecodesign - ASM
    Developing and implementing more high-efficiency components. Compact chamber and system design to minimize cleanroom footprint. Lowering resource consumption ...Missing: supply 2021-2025
  48. [48]
    Service and support - ASM
    More productivity, more uptime. We offer a full range of support options worldwide. Providing spare parts and services quickly and at reasonable cost. We offer ...Missing: network | Show results with:network
  49. [49]
    [PDF] Annual Report 2024 | ASM
    Mar 6, 2025 · This document is the PDF/printed version of ASM. International N.V.'s 2024 Annual Report and has been prepared for ease of use.
  50. [50]
    [PDF] asmi annual report 2021
    Mar 3, 2022 · in welcoming a record-high number of new colleagues, and grew our total number of employees by 28% to 3,312. “OUR TARGET IS TO ACHIEVE NET ...
  51. [51]
    [PDF] ASM reports third quarter 2025 results
    Oct 28, 2025 · Year-on-year, Q3 2025 gross profit increased by 14% at constant currencies (increased by. 8% as reported). Other income. € million. Q3 2024. Q2 ...
  52. [52]
    ASM reports third quarter 2025 results
    Oct 28, 2025 · “Revenue increased 8% year-on-year to €800 million at constant currencies. Revenue was approximately flat at constant currency compared to Q2, ...
  53. [53]
    ASM hosts Investor Day, provides new 2030 targets, revises
    Sep 23, 2025 · ASM hosts Investor Day, provides new 2030 targets, revises H2 2025 outlook · Revenue is targeted to increase to more than €5.7 billion by 2030.
  54. [54]
    ASM International NV Share Price - Stockopedia
    Rating 45/99 · Review by StockopediaJanuary 1st, 1981; No. of Employees: 4,558. Sector: Semiconductors ... Where are ASM International NV shares listed? Here are the trading details ...
  55. [55]
    ASM International NV - Market Capitalization - Trading Economics
    ASM International NV reported EUR27.86B in Market Capitalization this November of 2025, considering the latest stock price and the number of outstanding shares.
  56. [56]
    ASM INTERNATIONAL | Euronext exchange Live quotes
    As of 22 September 2025, Euronext has officially joined the CAC 40®, France's flagship blue-chip index.
  57. [57]
    ASML Holding N.V. - Company-Histories.com
    1984: Royal Philips Electronics and Advanced Semiconductor Materials (later named ASM International) launch ASML as a joint venture. 1988: Company ends joint ...
  58. [58]
    ASM International NV, ASM:AEX summary - FT.com - Markets data
    Key statistics. On Friday, ASM International NV (ASM:AEX) closed at 526.80, -17.38% below its 52-week high of 637.60, set on Jan 16, 2025.Missing: index inclusion
  59. [59]
    ASM International 10/28/2025 Earnings Report - MarketBeat
    Oct 28, 2025 · Actual Revenue: $932.68 million ; Expected Revenue: $814.93 million ; Beat/Miss: Beat by +$117.75 million ; YoY Revenue Growth: N/A ...<|separator|>
  60. [60]
    [PDF] Agenda for Annual General Meeting 2025 - ASM
    ASM proposes to declare a regular dividend of €3.00 (three euros) per common share over 2024. ASM's policy regarding the regular dividend is to pay a ...
  61. [61]
    Following a 20% decline over last year, recent gains may please ...
    Sep 16, 2025 · Significantly high institutional ownership implies ASM International's stock price is sensitive to their trading actions · 42% of the business is ...Missing: base | Show results with:base
  62. [62]
    Major shareholders: ASM International NV - MarketScreener
    Major shareholders: ASM International N.V. ; Bestinver Gestión SA SGIIC. 0.2266 %. 111,782, 0.2266 % ; Brock Milton Capital AB (Investment Management). 0.2263 %.Missing: base | Show results with:base
  63. [63]