Fact-checked by Grok 2 weeks ago

Atomic layer deposition

Atomic layer deposition (ALD) is a vapor-phase thin-film deposition that enables the growth of highly uniform, conformal coatings with atomic-level thickness control by means of sequential, self-limiting surface reactions between gaseous precursor molecules and a . This process occurs in a at relatively low temperatures, typically ranging from to 250°C, allowing deposition on temperature-sensitive materials without damage. Each cycle consists of two half-reactions: first, a metal precursor adsorbs onto the surface until saturation; excess is then purged, followed by introduction of a reactant (such as or ) that forms a complete atomic layer, with byproducts purged before the next cycle. The self-limiting nature ensures precise control over film thickness, often down to angstrom-scale increments, and excellent step coverage on complex, high-aspect-ratio geometries. The origins of ALD trace back to the 1970s in , where physicist Tuomo Suntola developed the foundational concept known as atomic layer epitaxy (ALE) to deposit (ZnS) thin films for electroluminescent flat-panel displays. Suntola's work, initiated in 1974 at Instrumentarium Oy, addressed the need for uniform polycrystalline films in early display technologies, leading to the first commercial application in the 1980s by the Finnish company Microchemistry Ltd. For his contributions to ALD, Suntola was awarded the in 2018. The broader term "atomic layer deposition" emerged in the mid-1980s to encompass non-epitaxial applications, distinguishing it from related Soviet-era molecular layering techniques developed independently in the 1960s. Key early advancements included the demonstration of ALD for binary compounds like Al₂O₃ in the late 1980s, expanding its scope beyond epitaxial growth. ALD's primary advantages include its ability to produce pinhole-free films with superior uniformity and conformality compared to other deposition methods like (CVD) or (PVD), particularly on three-dimensional nanostructures. It supports a wide range of materials, including metal oxides (e.g., Al₂O₃, HfO₂), nitrides, sulfides, and pure metals, deposited at rates of 0.1–2 Å per cycle. These attributes make ALD indispensable for nanoscale , where traditional techniques fail to achieve atomic . In modern applications, ALD plays a critical role in semiconductor manufacturing for high-k dielectrics in transistors, enabling continued scaling in integrated circuits as per . It is also widely used in energy technologies, such as lithium-ion batteries for solid electrolytes and electrode passivation, and in for anti-reflective and protective layers on solar cells. Additional fields include microelectronics for devices, for coating nanoparticles and nanotubes, and for durable, conformal barriers against atomic oxygen erosion. Ongoing research focuses on plasma-enhanced variants (PEALD) to lower deposition temperatures further and area-selective ALD for next-generation patterning.

Fundamentals

Overview

Atomic layer deposition (ALD) is a vapor-phase thin-film deposition technique that enables the growth of conformal, atomic-scale films through sequential, self-limiting surface reactions between gaseous precursors and a substrate. This process relies on alternating exposures to precursors separated by purge steps, ensuring that each reaction saturates the surface without continuous deposition. Key characteristics of ALD include precise thickness control at the level, exceptional uniformity and conformality on high-aspect-ratio structures, and the production of pinhole-free films with sharp interfaces. These attributes stem from the self-limiting nature of the reactions, which prevent overgrowth and allow for low-temperature processing, typically between 100 and 350 °C. ALD supports a wide range of materials, from oxides and nitrides to metals, making it versatile for applications requiring nanoscale precision. In comparison to (CVD), which involves continuous precursor reactions leading to faster but less conformal growth, ALD's self-limiting mechanism provides superior control and coverage on complex topologies. Unlike (PVD) methods, which suffer from line-of-sight limitations and shadowing effects, ALD achieves uniform deposition without such constraints. Typical ALD films range from 1 to 100 nm in thickness, with growth rates of 0.5 to 2 Å per cycle, enabling tailored nanostructures while maintaining high quality.

Basic Principles

Atomic layer deposition (ALD) operates through a cyclic process that enables precise control over film thickness at the atomic scale. The fundamental cycle consists of four sequential steps: exposure to a volatile precursor, which adsorbs onto the surface; purging with an to remove unreacted precursor and byproducts; exposure to a co-reactant that reacts with the adsorbed precursor to form a partial atomic layer; and a second purge to eliminate excess co-reactant and volatile byproducts. This sequence repeats, with each half-cycle designed to be self-limiting, meaning the surface reactions saturate available sites and cease once the surface is fully covered, preventing uncontrolled growth. The self-limiting nature ensures conformal deposition on high-aspect-ratio structures and uniform thickness, typically achieving growth rates on the order of angstroms per cycle. At the atomic level, the process relies on surface and ligand exchange reactions. The precursor molecules onto reactive sites on the , such as hydroxyl (-) groups on surfaces, forming stable bonds while leaving attached. In the subsequent co-reactant step, these ligands are exchanged or removed, regenerating reactive sites for the next precursor adsorption and completing the formation. For instance, in thermal ALD processes, this exchange often involves or similar reactions, while plasma-enhanced variants use activated species for lower-temperature operation, though the core self-limiting mechanism remains consistent. The growth per cycle (GPC), which quantifies the thickness increase per ALD cycle, is determined by the amount of material deposited and can be expressed as: \text{GPC} = \left( \frac{\text{moles of precursor adsorbed per unit area}} \right) \times \left( \frac{\text{molecular weight}}{\text{density}} \right) This formula accounts for the surface coverage of adsorbed precursor, converted to thickness via the film's molecular properties. Typical GPC values range from 0.05 to 0.2 nm, depending on the and conditions. Optimal ALD performance occurs within specific temperature windows, where precursor volatility ensures sufficient for delivery, and reaction kinetics support complete, self-limiting surface reactions without precursor or desorption. These windows, often 150–400°C for common processes, balance (which can lead to incomplete coverage) and (for stable adsorption). Outside this range, growth rates may decline due to reduced reactivity or increased impurity incorporation. Substrate surface chemistry significantly influences initial and overall film quality in ALD. Reactive functional groups, such as hydroxyl groups on oxide substrates, facilitate precursor adsorption by providing anchoring sites, promoting uniform and higher initial GPC. In contrast, inert surfaces like metals may require surface modification to initiate growth, as low reactivity can lead to delayed and island formation. The of these groups, typically 5–12 per nm² on hydrated oxides, directly correlates with adsorption and thus GPC.

History

Early Development (1950s-1980s)

The foundational concepts of atomic layer deposition (ALD) trace back to the 1950s in the , where Valentin Borisovich Aleskovskii proposed the "framework hypothesis," positing that chemical bonds at solid surfaces differ from those in the bulk, enabling sequential layer-by-layer material synthesis through surface reactions. This idea evolved into the method of molecular layering (ML) in the early 1960s, developed by Aleskovskii and his student Stanislav Ivanovich Koltsov at the Leningrad Technological Institute (now St. Petersburg State Technological Institute). ML involved alternating exposures of substrates to gaseous precursors, such as chlorides or oxychlorides, to form films like TiO₂ and SiO₂ via self-limiting surface , marking the first systematic exploration of what would later be recognized as ALD principles. Early publications, including Koltsov and Aleskovskii's 1967 work on surface reaction kinetics, demonstrated proof-of-concept experiments but remained largely confined to academic research in the Soviet scientific community. Independently, in the early , researcher Tuomo Suntola developed atomic layer (ALE), a vapor-phase for growing high-quality polycrystalline films, initially targeting ZnS for electroluminescent () displays at Instrumentarium Oy. Suntola's approach, inspired by the need for pinhole-free insulators in thin-film devices, relied on sequential, saturating reactions of metal halides (e.g., ZnCl₂ and H₂S) in a high-vacuum carousel reactor, achieving self-regulated growth at the atomic scale. The first ALE patent, filed by Suntola and Jorma Antson on November 29, 1974, and granted in 1977, described the process for compound semiconductors and dielectrics, laying the groundwork for subsequent refinements like inert-gas purging systems patented in 1979. By the late , ALE enabled the fabrication of the first operational thin-film electroluminescent (TFEL) displays, with initial prototypes demonstrating uniform ZnS:Mn phosphor layers that produced bright, stable luminescence, representing a key milestone in practical thin-film technology. During the 1970s and 1980s, research expanded to oxide depositions, including early demonstrations of Al₂O₃ films using trimethylaluminum (TMA) and as precursors, reported in publications from the late 1980s that built on ALE's sequential chemistry. These efforts, often documented in patents and journals, highlighted ALE/ML's versatility for insulators but faced significant challenges, such as inherently low throughput due to extended precursor pulsing and purging times—typically yielding growth rates below 1 per cycle—and limited availability of volatile, thermally stable precursors suitable for self-limiting reactions. Reactor designs struggled with maintenance and uniform precursor distribution, restricting scalability beyond proofs-of-concept, though these hurdles spurred innovations in that persisted into later decades.

Commercialization (1990s-2000s)

In the , atomic layer deposition (ALD) transitioned from laboratory research to initial commercial availability through the efforts of Microchemistry Ltd., which licensed Tuomo Suntola's foundational patents on atomic layer epitaxy (ALE), originally developed in the 1970s. Founded in 1987 as a subsidiary of Neste Oy, Microchemistry focused on applying ALD to , catalysts, and early processes, producing the first commercial ALD reactors, including the F-120 model in 1987 for research-scale operations and the F-1000 in 1997 for handling large substrates up to 500 mm × 500 mm. This marked the debut of production-ready ALD tools, primarily for thin-film electroluminescent displays and basic wafer processing, though adoption remained limited to specialized applications due to the technology's novelty and high costs. In 1999, acquired Microchemistry, rebranding it as ASM Microchemistry and shifting emphasis toward broader integration, which catalyzed further tool development and licensing. The 2000s witnessed explosive growth in ALD's industrial adoption, driven by its precision for conformal coatings in advanced nodes. A pivotal advancement occurred in 2007 when incorporated ALD-deposited hafnium oxide (HfO₂) as a high-k in its 45 nm logic , enabling transistors that reduced gate leakage currents by over an order of magnitude compared to while supporting continued scaling under . This high-volume manufacturing milestone validated ALD for critical front-end processes, spurring widespread integration in capacitors and interconnect barriers across the industry. Suppliers like expanded rapidly, leveraging the 1999 acquisition to launch production tools such as the series for 200 mm and 300 mm wafers, while entered the market with its iSprint ALD/CVD Centura platform in 2003, targeting tungsten contacts for sub-90 nm devices and enhancing throughput for fab-scale deployment. These developments positioned ALD as indispensable for next-generation chips, with multiple vendors competing to meet escalating demands. Beyond , ALD exploration extended to non-semiconductor fields like during the 2000s, where it showed promise for ultrathin barrier layers to protect organic devices from moisture and oxygen. However, initial implementation faced significant hurdles, including the low thermal stability of substrates, which limited deposition temperatures to below 100°C to avoid deformation, and challenges in achieving uniform conformal coverage on curved or porous surfaces without pinholes. Early studies targeted alumina (Al₂O₃) films for encapsulation in organic light-emitting diodes (OLEDs), but scalability issues and slower growth rates at low temperatures delayed widespread adoption until process optimizations emerged later in the decade. By the late , ALD's market had evolved from a niche research tool—valued at under $100 million in the early —to a multi-billion-dollar segment within the global thin-film deposition industry, fueled by scaling and high-k integration, with annual growth rates exceeding 20% post-2005. This expansion reflected ALD's role in enabling over 50% of advanced logic and memory devices, underscoring its economic impact on electronics manufacturing.

Recent Advances (2010s-2025)

In the , area-selective atomic layer deposition (AS-ALD) emerged as a significant innovation, enabling precise patterning of thin films without traditional by selectively depositing materials on predefined surface regions. This technique leverages self-assembled monolayers or surface modifications to inhibit on non-target areas, achieving selectivities exceeding 90% for oxides like Al2O3 on metals versus dielectrics. AS-ALD gained traction for advanced fabrication, reducing process steps and costs in self-aligned via and applications. From 2020 to 2025, high-speed ALD processes advanced the deposition of superconducting films, such as NbN, for superconducting nitrides like Nb_xTi_{1-x}N, with growth rates reaching 30-60 nm/h via plasma-enhanced supercycles, enabling uniform coatings for quantum devices. These developments improved critical temperatures up to about 14 K for NbN films, supporting applications in superconducting qubits and detectors. Concurrently, ALD extended to coatings and catalysts, where precise conformal layers enhanced electrocatalytic performance in fuel cells. New precursors facilitated ALD of materials like at low temperatures below 200°C, using compounds such as bis(t-butylimino)bis(dimethylamino)(VI) and H2S to yield crystalline, uniform films suitable for . These low-temperature processes preserved integrity while achieving growth per cycle of 0.05-0.1 nm, advancing integration in . Integration of optimized ALD processes by predicting optimal precursor dosing and purge times, reducing experimental iterations from hundreds to dozens through models like regression. Such AI-driven approaches enhanced film uniformity and yield in real-time reactor control. Innovative reactor designs for roll-to-roll deposition scaled ALD to continuous processing of flexible substrates, incorporating spatial separation of to achieve throughput exceeding 1 m/min for barrier layers on webs. These systems addressed for large-area coatings. At the AVS ALD/ALE 2025 conference, presentations highlighted ALD's role in , showcasing atomic-scale layers for superconducting junctions and dielectrics in architectures. These advances also support energy applications, such as protective coatings in . In November 2025, researchers demonstrated all-nitride superconducting qubits using ALD-deposited NbN/AlN/NbN trilayers, exhibiting microsecond coherence times suitable for .

Reaction Mechanisms

Thermal ALD

Thermal atomic layer deposition (ALD) is a vapor-phase that relies on sequential, self-limiting surface reactions driven solely by to deposit thin with atomic-level precision. In this process, a volatile precursor is introduced to the substrate surface, where it undergoes to form a , followed by a purge step with an to remove excess precursor and byproducts. A co-reactant is then introduced to react with the adsorbed species, completing the half-cycle, and another purge removes unreacted co-reactant and reaction products. This cycle is repeated to build up the film layer by layer, ensuring conformal coverage even on high-aspect-ratio structures. The mechanism exemplifies ligand-exchange reactions, as seen in the deposition of aluminum oxide (Al₂O₃) using trimethylaluminum (TMA, Al(CH₃)₃) as the precursor and (H₂O) as the co-reactant. In the first half-cycle, TMA chemisorbs on hydroxyl-terminated surface sites (-OHₛ), displacing a and forming (CH₄) byproduct: \ce{ -OH_s + Al(CH3)3 -> -O-Al(CH3)2_s + CH4} The surface is then saturated with -Al(CH₃)₂ groups. In the second half-cycle, H₂O reacts with these methyl ligands to regenerate hydroxyl sites and produce additional CH₄: \ce{ -O-Al(CH3)2_s + 2H2O -> -O-Al(OH)2_s + 2CH4} Physisorbed species and volatile byproducts are removed via purge steps, preventing gas-phase reactions and ensuring self-limitation. This binary process yields high-purity Al₂O₃ films with growth rates typically around 1 Å per cycle. The process operates within a specific temperature window where precursors remain volatile without decomposing and surface reactions proceed efficiently, typically 100–300 °C for Al₂O₃ deposition. Below this range, incomplete reactions lead to low growth rates or impurities, while above it, precursor decomposition or etching can occur, narrowing the window for some materials. This thermal activation ensures compatibility with temperature-sensitive substrates but requires careful control to maintain uniformity. Thermal ALD offers simplicity in equipment design, as it avoids plasma sources, enabling scalable production of high-quality and nitride films like Al₂O₃, HfO₂, and SiO₂ with excellent conformality and low defect densities. Its reliance on mature, inexpensive further enhances industrial viability for and barrier layers. However, limitations arise for metallic films, where growth rates are slower due to insufficient activation for certain precursor-surface interactions, often necessitating higher temperatures or alternative methods. Unlike plasma-enhanced variants, ALD achieves reactions through heat alone, restricting its use to materials with suitable chemistry.

Plasma-Enhanced ALD

Plasma-enhanced atomic layer deposition (PEALD) is a variant of atomic layer deposition that incorporates activation during the co-reactant step to generate highly reactive , enabling film growth at significantly lower temperatures than traditional thermal ALD processes. In PEALD, the replaces or supplements thermal co-reactants like or , producing radicals that drive surface reactions with enhanced efficiency, particularly for materials requiring high reactivity or sensitive substrates. This approach has become essential for applications demanding conformal coatings on temperature-vulnerable surfaces, such as polymers or . The mechanism of PEALD follows the self-limiting nature of ALD but leverages to create radicals for the second half-cycle. In the first step, a metalorganic or precursor adsorbs onto the surface, forming a chemisorbed layer; excess precursor is then purged. The step introduces reactive species, such as oxygen radicals (O*) generated from an Ar/O₂ via electron-impact , which react with the surface-bound precursor to form the desired while releasing volatile byproducts. For example, in deposition like Al₂O₃, trimethylaluminum (Al(CH₃)₃) adsorbs as: \text{surface-OH} + \text{Al(CH}_3\text{)}_3 \rightarrow \text{surface-O-Al(CH}_3\text{)}_2 + \text{CH}_4 Subsequent exposure to O₂ plasma oxidizes the methyl ligands with O* radicals, forming volatile byproducts such as CO, CO₂, H₂O, and hydrocarbons to complete the Al-O layer. For nitrides, such as TiN, titanium tetrachloride (TiCl₄) adsorbs first, followed by NH₃ plasma generating NHₓ radicals that facilitate nitridation and chloride removal: \text{TiCl}_4 + \text{surface}^* \rightarrow \text{surface-TiCl}_x + \text{HCl (g)}, \quad \text{surface-TiCl}_x + \text{NH}_3 \text{ plasma} \rightarrow \text{surface-TiN} + \text{HCl (g)} This -driven reactivity reduces the thermal budget, allowing deposition of nitrides like TiN at temperatures as low as 100°C, compared to over 200°C in processes. A primary of PEALD is its ability to deposit high-quality films below 100°C, making it suitable for heat-sensitive substrates like polymers used in or biomedical devices. The plasma radicals provide higher reactivity than thermal co-reactants, enabling the deposition of metals and metal nitrides with low impurity levels (e.g., <0.1 at.% Cl in TiN films at 400°C) and improved density, which enhances electrical properties such as resistivity as low as 71 μΩ·cm for TiN. Additionally, PEALD shortens purge times and increases growth per cycle (e.g., 1.7 Å/cycle for Al₂O₃ at 70°C versus 1.1 Å/cycle with H₂O), improving throughput without sacrificing conformality in moderate aspect-ratio structures. PEALD systems employ two main plasma configurations: direct plasma, where the substrate is exposed within the plasma glow discharge for maximum radical flux but higher risk of energetic ion bombardment, and remote plasma, where the plasma is generated upstream and radicals are transported via gas flow to the substrate, minimizing damage while preserving reactivity. Remote setups, often using inductively coupled plasma at 13.56 MHz and powers of 100–300 W, are preferred for sensitive substrates, achieving electron densities around 10⁹ cm⁻³ and ion energies below 15 eV. Despite these advantages, PEALD faces challenges including potential ion-induced damage in direct configurations, which can create defects or interfacial layers on delicate substrates. Non-uniformity arises in large-area tools due to radical recombination and plasma density gradients, leading to thickness variations exceeding 5% over 200 mm wafers without optimization. Additionally, higher impurity incorporation (e.g., up to 14 at.% Cl at 150°C) and reduced conformality in high-aspect-ratio features (>50:1) from radical loss limit its use in some nanoscale applications.

Spatial and Photo-Assisted ALD

Spatial atomic layer deposition (SALD) represents an advanced variant of ALD where precursor gases are separated spatially rather than temporally, allowing for continuous processing without the need for sequential pulsing and purging steps. In this approach, substrates move through distinct zones containing different precursors or reactants, often facilitated by multi-zone showerheads or conveyor systems that expose the surface to alternating chemistries in a controlled spatial . This configuration enables high-throughput deposition suitable for industrial-scale applications, such as coating large-area or flexible substrates. The mechanism of SALD relies on the diffusion-limited transport of across spatial boundaries, ensuring self-limiting surface similar to conventional ALD while eliminating time-consuming cycles to remove excess gases. By avoiding these steps, SALD achieves deposition rates that are 10 to 100 times faster than traditional ALD processes, with growth rates often exceeding several nanometers per minute under optimized conditions. For instance, implementations using rotating substrates or through precursor arrays have demonstrated uniform films over large areas, enhancing for continuous . Photo-assisted ALD incorporates (UV) light to activate or surface , promoting at lower temperatures and enabling selective deposition on patterned surfaces. In this method, photons provide the energy to dissociate bonds in or co-reactants, such as or oxygen, facilitating and film growth without thermal activation. A prominent example is the deposition of TiO₂ films using titanium like , where UV irradiation induces room-temperature growth with high conformality on complex topographies. This technique also supports area-selective ALD by confining to UV-exposed regions, useful for fabricating nanoscale devices. The photochemical mechanism in photo-assisted ALD involves photon absorption leading to the formation of reactive intermediates, as simplified by the reaction: h\nu + \text{precursor} \rightarrow \text{activated species} + \text{surface bond} Here, UV wavelengths (typically 200-300 nm) break precursor bonds or generate radicals from co-reactants, lowering the barrier and enabling deposition at ambient conditions. This contrasts with purely thermal cycles by leveraging photonic energy for bond breaking, which can enhance selectivity and reduce defect densities in films like metal oxides. In the 2020s, hybrid approaches combining spatial ALD with enhancement (spatial-PEALD) have emerged, particularly for of barrier coatings on flexible substrates. These systems operate at , using spatially separated and precursor zones to deposit dense SiO₂ or Al₂O₃ layers with transmission rates below 10⁻⁴ g/m²/day, ideal for encapsulating and photovoltaic devices. Such advancements address scalability challenges in traditional ALD, enabling high-speed, continuous production of impermeable barriers over kilometers of polymer foil.

Materials and Precursors

Common Precursors for Oxides and Nitrides

Atomic layer deposition (ALD) of oxides relies on metalorganic precursors that exhibit high volatility and reactivity with oxygen sources such as or , enabling self-limiting surface reactions for conformal films. Trimethylaluminum (TMA, Al(CH₃)₃) is the most widely adopted precursor for aluminum oxide (Al₂O₃), paired typically with H₂O to yield growth rates of approximately 1 per cycle at temperatures between 150–300°C. TMA's high volatility (vapor pressure ~10 at 20°C) allows efficient delivery as a vapor, while its thermal stability up to ~400°C ensures it remains intact during transport without premature decomposition. However, TMA is pyrophoric, igniting spontaneously in air due to its strong reactivity with oxygen and moisture, necessitating inert handling in gloveboxes or under atmospheres to prevent contamination from oxides or hydroxides. The reaction produces (CH₄) as a volatile byproduct, which is readily purged, but high precursor purity (>99.999%) is essential to minimize carbon incorporation in the film, typically below 1 at.% under optimized conditions. For high-k dielectrics like oxide (HfO₂), tetrakis(dimethylamido) (TDMAH, Hf(N(CH₃)₂)₄) serves as a standard metal precursor, often combined with H₂O or O₃ for deposition at 150–250°C with growth rates of 0.9–1.2 per cycle. TDMAH offers good volatility ( ~0.5 at 90°C) and a thermal stability window up to ~240°C, beyond which it decomposes into non-volatile residues, limiting higher-temperature processes. As a at , it requires heated delivery lines for vaporization, and while less pyrophoric than alkyls, it is air-sensitive and demands high purity to avoid nitrogen or carbon impurities (often <5 at.%) from incomplete ligand exchange. Byproducts include volatile amines like dimethylamine (HN(CH₃)₂), facilitating clean purging, though residual carbon can affect dielectric properties if not managed. Nitrides in ALD are commonly formed using metal halides or amides with nitrogen sources like ammonia (NH₃) or plasma-activated N₂/H₂, targeting conductive or barrier layers. Titanium tetrachloride (TiCl₄) paired with NH₃ is a benchmark process for titanium nitride (TiN), achieving growth rates of ~0.3–0.5 Å per cycle at 300–400°C. TiCl₄'s excellent volatility (vapor pressure >10 at 25°C) and thermal stability above 250°C make it suitable, but its liquid form is highly corrosive and fuming, requiring handling to avoid . The reaction generates (HCl) as a byproduct, which must be thoroughly purged to prevent damage or incorporation (typically <1 at.% in optimized films), emphasizing the need for ultra-high purity precursors (>99.99%) to ensure low-resistivity TiN (~200–500 μΩ·cm). Plasma-enhanced variants using N₂/H₂ reduce temperatures to ~200–300°C while improving film , though they introduce radicals that enhance reactivity. Post-2000s developments have shifted toward amide-based precursors for both oxides and nitrides to mitigate halide-related corrosion and contamination. For instance, metal amides like tetrakis(dimethylamido) (TDMAT) with NH₃ or N₂/H₂ enable TiN deposition at lower temperatures (150–250°C) with reduced content (<0.1 at.%) and byproducts limited to amines and hydrogen. This evolution, driven by demands for cleaner interfaces, has extended to oxides, where amides like TDMAH replaced chlorides for HfO₂ to minimize interfacial defects and improve . Amides generally offer wider thermal stability windows (up to 300°C) and lower compared to alkyls, though they can introduce carbon if purge times are insufficient, highlighting ongoing refinements in precursor design for purity and handling.

Precursors for Metals and Emerging Materials

Precursors for metallic films in atomic layer deposition (ALD) typically involve organometallic compounds that enable self-limiting surface reactions, often requiring reducing co-reactants to achieve pure metal deposition without oxidation. For iron, (Fe(C₅H₅)₂) serves as a common precursor, particularly in -enhanced ALD (PEALD) processes where hydrogen acts as the reducing agent to form metallic films, ensuring compatibility with ALD cycles through controlled ligand exchange and minimizing carbon impurities. Similarly, for , the precursor copper(I) hexafluoroacetylacetonate trimethylvinylsilane ((hfac)TMVS) is widely used in thermal or spatial ALD, paired with H₂ or other reductants to deposit conformal films with low impurity levels, as the vinylsilane facilitates clean dissociation and reduces incorporation during the cycle. Emerging materials, such as two-dimensional dichalcogenides (TMDCs), utilize specialized precursors to enable layer-by-layer growth. For (MoS₂), molybdenum hexacarbonyl (Mo()₆) combined with H₂S as the source has been effective in modified ALD recipes, achieving stoichiometric S:Mo ratios near 2:1 and crystalline films through optimized purge steps that control removal and prevent agglomeration. Alkylamide-based precursors, like bis(tert-butylimido)bis(dimethylamido)molybdenum, offer alternatives for lower-temperature deposition of MoS₂, enhancing compatibility with sensitive substrates by reducing decomposition risks and improving impurity control via volatile byproduct elimination. For hybrid organic-inorganic perovskites, ALD/molecular layer deposition (ALD/MLD) hybrid processes employ metal halides (e.g., PbI₂) and organic amines as precursors to form passivation layers, such as (BA)₂PbI₄, where the alternating cycles ensure uniform incorporation of organic spacers and maintain structural integrity without phase segregation. Key challenges in metal ALD include preventing agglomeration during , which can lead to non-conformal growth, and achieving low electrical resistivity, such as below 10 μΩ·cm for films to approach bulk values (1.7 μΩ·cm). Impurity control is critical, as residual carbon or oxygen from incomplete removal can increase resistivity; this is addressed through extended purge times and to enhance surface reactions. For emerging materials, ensuring precise in TMDCs avoids defect-induced , while hybrids require careful cycle ratios to prevent organic degradation and maintain low-impurity interfaces. In the 2020–2025 period, innovations have focused on fluorine-free precursors to enable eco-friendly processes, such as tert-butylcyclopentadienyl tricarbonyl (for W analogs) or beta-diketonate complexes without F-ligands, which reduce risks and environmental hazards while maintaining ALD compatibility. PEALD advancements for superconductors, like (NbN), use (tert-butylimido)tris(dimethylamido) with N₂/H₂ , yielding films with critical temperatures up to 15 K and low resistivity through impurity minimization and enhanced crystallinity. These developments emphasize sustainable chemistry and integration with high-performance materials, prioritizing volatile, non-toxic options for scalable ALD cycles.

Applications

Microelectronics

Atomic layer deposition (ALD) has become essential in microelectronics for fabricating high-performance transistors by enabling the deposition of ultra-thin, conformal high-k gate dielectrics that replace traditional silicon dioxide (SiO₂) as device dimensions scale below 10 nm nodes. Materials such as hafnium oxide (HfO₂) and zirconium oxide (ZrO₂) offer dielectric constants (k) greater than 20, allowing equivalent oxide thickness (EOT) values under 1 nm while maintaining low leakage currents, which is critical for sustaining Moore's Law in logic and memory devices. Plasma-enhanced ALD (PEALD) variants of these processes achieve uniform coverage on high-aspect-ratio structures at temperatures below 300°C, ensuring compatibility with sensitive substrates like III-V semiconductors. In (DRAM) and logic applications, ALD-deposited transition-metal nitrides such as (TiN) and (TaN) serve as barriers, work-function electrodes, and gate electrodes, providing thermal stability and low resistivity (around 50-100 μΩ·cm for TiN films). These nitrides prevent in interconnects and enable precise control of threshold voltages in metal-oxide-semiconductor field-effect transistors (MOSFETs), with TiN layers as thin as 2-5 nm supporting scaling to 5 nm nodes. ALD processes using precursors like tetrakis(dimethylamido)titanium for TiN yield conformal films with excellent step coverage in 3D structures, outperforming in trench-filling uniformity. For advanced interconnects compatible with (EUV) , ALD enables the deposition of () and () films as liners and caps, addressing challenges in sub-10 nm pitches where alone exhibits increased resistivity. ALD using ethylcyclopentadienyl-based precursors achieves growth rates of 0.3-0.5 Å/cycle at 200-250°C, forming low-resistivity (12-15 μΩ·cm) films that reduce via resistance by up to 20% compared to TaN barriers. Similarly, ALD with dicobalt hexacarbonyl precursors supports selective deposition on metals, enhancing and barrier properties in multilevel interconnects. ALD also facilitates precise layering in storage devices, such as thin-film magnetic heads for hard disk drives and high-aspect-ratio capacitors, where conformal Al₂O₃ or HfO₂ insulators (5-10 nm thick) enable sub-nanometer tunnel junctions and cylindrical structures with densities exceeding 100 fF/μm². In magnetic heads, ALD-deposited oxides provide atomic-scale uniformity for (GMR) sensors, improving signal-to-noise ratios. In the 2020s, area-selective ALD (AS-ALD) has emerged for fabricating self-aligned vias (SAVs), reducing and etch steps by selectively depositing metals like or Co only on pre-patterned bottom electrodes, achieving selectivities over 100:1 and enabling pitches below 20 in back-end-of-line processing. This technique uses self-assembled monolayers to inhibit on dielectrics, streamlining EUV-compatible flows and cutting fabrication costs by 10-15%.

Energy and Photovoltaics

Atomic layer deposition (ALD) plays a pivotal role in enhancing the performance of photovoltaic devices, particularly through the application of ultrathin passivation layers. In silicon solar cells, ALD-deposited aluminum oxide (Al₂O₃) layers provide superior surface passivation by combining chemical passivation via hydrogen termination and field-effect passivation due to fixed negative charges at the interface. This approach has enabled record efficiencies exceeding 25% in passivated emitter and rear cell (PERC) architectures, where Al₂O₃ films as thin as 5-10 nm reduce recombination losses and improve open-circuit voltages. In systems, ALD facilitates the fabrication of high-performance electrodes and electrolytes for - batteries. For instance, ALD-grown pentoxide (V₂O₅) thin films serve as cathodes, offering nanostructured morphologies that enhance diffusion and rate capability, achieving discharge capacities of up to 104 mAh/g at 1 C with near-100% Coulombic efficiency over thousands of cycles. Additionally, ALD enables the deposition of solid-state electrolytes such as lithium phosphorus oxynitride (LiPON), which provide conformal coatings with ionic conductivities around 10⁻⁶ S/cm, improving safety and interfacial stability in all-solid-state batteries by minimizing formation and enabling 3D architectures. For fuel cells and electrolyzers, ALD enhances catalyst durability by precisely coating platinum (Pt) nanoparticles, mitigating degradation mechanisms like and carbon corrosion. In proton exchange membrane fuel cells, particle ALD-synthesized Pt/C catalysts exhibit improved stability, retaining electrochemical surface area after over 30,000 cycles of accelerated stress testing due to uniform atomic-layer overlayers that strengthen Pt-support interactions. Similarly, in electrolyzers, ALD SiO₂ coatings on Pt nanoparticles boost stability, with minimal activity loss after extended operation, enabling efficient production. ALD also contributes to superconducting films critical for quantum energy devices. Niobium titanium nitride (NbTiN) films deposited via plasma-enhanced ALD achieve atomic-level uniformity and low defect densities, yielding critical temperatures of 6–7 with residual resistivity ratios exceeding 3, ideal for qubits and single-photon detectors where precise thickness control minimizes two-level system defects. As of 2025, emerging trends leverage ALD for advanced photovoltaic s and systems. In -silicon solar cells, ALD-grown tin oxide (SnO₂) buffer layers improve charge extraction and stability, contributing to certified efficiencies exceeding 34% by passivating defects at the interface. For electrolyzers, ALD-fabricated ultrathin SiO₂ membranes (∼250 nm) serve as hydrogen-permeable barriers, suppressing crossover in water electrolyzers while maintaining high current densities over 1 A/cm², advancing scalable generation.

Biomedical and Barrier Coatings

Atomic layer deposition (ALD) has emerged as a powerful for fabricating biocompatible coatings on medical implants, particularly using materials like (TiO₂) and zinc (ZnO) to enhance resistance and promote . TiO₂ coatings, deposited at low temperatures with growth rates of 0.03–0.06 nm per cycle, exhibit excellent and stability in aqueous environments, making them suitable for orthopedic and dental implants where they improve and reduce inflammatory responses. For instance, TiO₂ nanofilms on Ti6Al4V alloys have demonstrated superior protection in simulated body fluids, with impedance values indicating minimal ion release compared to uncoated substrates. Similarly, ZnO coatings, achievable at with growth rates of 0.19–0.20 nm per cycle, provide antibacterial properties through Zn²⁺ ion release while supporting proliferation on surfaces, thus aiding long-term implant integration without compromising mechanical integrity. These coatings leverage ALD's conformal deposition to uniformly cover complex implant geometries, ensuring uniform protection against biocorrosion in biodegradable scaffolds. In drug delivery systems, ALD enables the creation of controlled-release layers on nanoparticles, allowing precise tuning of therapeutic agent dispersal. Mesoporous TiO₂/ZnO composites fabricated via ALD facilitate high drug loading capacities, such as for in treatments, where the porous structure supports sustained release over extended periods while maintaining structural integrity. For example, Al₂O₃ shells deposited on microparticles (d₅₀ ≈ 1.76 µm) via particle ALD (PALD) significantly slow dissolution rates in pulmonary simulations, extending for inhaled therapeutics. This approach also applies to metallic nanoparticles, where ALD overcoats prevent premature aggregation and enable pH-responsive release mechanisms, enhancing in targeted applications. ALD-produced permeation barriers, such as Al₂O₃ films on substrates, are critical for protecting sensitive in biomedical devices like flexible OLEDs and wearable sensors. These ultrathin layers (typically 10–50 nm) achieve water vapor transmission rates (WVTR) below 10⁻⁶ g/m²/day, far surpassing uncoated polymers (e.g., PEN with WVTR ≈ 0.5 g/m²/day), by forming dense, pinhole-free structures that block moisture ingress. Plasma-enhanced ALD at low temperatures (around 80°C) ensures compatibility with heat-sensitive plastics, enabling encapsulation for implantable bioelectronics without . In electrooptic biomedical interfaces, these barriers briefly support sealing, though primary focus remains on biological encapsulation rather than optical performance. Quality control in ALD for biomedical and barrier coatings relies on in-situ monitoring techniques to ensure uniformity and defect-free deposition. (QCM) measures growth per cycle (GPC) in real-time, providing sub-nanometer resolution for precursor dosing optimization on surfaces or foils, with typical GPC values of 0.1–0.2 nm/cycle for oxides. complements this by detecting thickness variations and defects like pinholes during deposition, enabling non-destructive assessment of conformity on curved nanoparticles or flexible substrates, with to monolayers. These tools are essential for biomedical applications, where inconsistencies could lead to failure or barrier breaches. In the 2020s, ALD has advanced nanoparticle surface modification for , particularly through precise overcoating to enhance and specificity. For instance, ≈5 nm Al₂O₃ layers on Fe₃O₄ nanoparticles (via 50 PALD cycles) preserve magnetic properties for MRI contrast while improving oxidation resistance and enabling ligand attachment for targeting, achieving up to 90% cellular uptake . Similarly, Pt nanoclusters (≈1 nm) on TiO₂ nanoparticles via spatial ALD facilitate ROS-mediated therapies, with processing rates up to 60 g/h supporting scalable production for drug-conjugated systems. These modifications underscore ALD's role in engineering multifunctional nanoparticles for , focusing on controlled interactions at the bio-nano interface.

Advantages and Limitations

Key Advantages

Atomic layer deposition (ALD) excels in providing exceptional conformality, enabling nearly 100% step coverage on complex three-dimensional structures with aspect ratios exceeding 100:1, which is particularly valuable for coating high-aspect-ratio features like trenches and vias in advanced devices. This uniformity arises from the self-limiting nature of , where react only on the surface without continued deposition on already covered areas, ensuring consistent film thickness even on non-planar geometries. The technique offers precise control over film thickness at the level, typically achieving atomic-scale resolution down to approximately 1 per cycle, allowing for tailored deposition of ultrathin layers with minimal variability. Additionally, ALD films exhibit low impurity concentrations, often below 1 at.%, due to the controlled, sequential introduction of reactants that limits incorporation of contaminants like carbon or . ALD demonstrates remarkable versatility, with over 500 unique material compositions depositable, spanning insulators such as oxides and nitrides, semiconductors, and metals including noble and transition elements. This broad material palette is facilitated by the adaptability of the self-limiting chemistry to various precursor combinations, enabling the growth of diverse compounds without altering core process parameters significantly. is a key strength, supported by a range of tools from batch systems for high-throughput production to single-wafer platforms suited for , allowing seamless transition from lab-scale prototyping to industrial manufacturing. Batch tools process multiple substrates simultaneously for cost efficiency in volume production, while single-wafer systems provide enhanced control for precision applications. Environmentally, ALD minimizes waste through its self-limiting cycles, which efficiently utilize precursors by halting reactions once the surface is saturated, resulting in up to 26 times lower impacts compared to alternative deposition methods in categories like use and emissions. This reduces excess precursor consumption and byproduct generation, aligning with sustainable principles.

Principal Limitations

Atomic layer deposition (ALD) faces significant economic challenges due to the high cost of , which can reach thousands of dollars per kilogram for specialty organometallic compounds, and the expense of specialized equipment, often exceeding one million dollars per tool. These costs restrict ALD primarily to high-value applications in and where precision justifies the investment. The process is inherently slow, with typical cycle times ranging from 1 to 10 minutes per layer due to sequential precursor pulsing and purging steps, resulting in throughputs below 100 wafers per hour for conventional thermal ALD systems—far slower than (CVD) methods that achieve hundreds of wafers per hour. This low throughput limits scalability for large-volume production. Chemically, ALD is constrained to volatile precursors that must remain stable during transport but reactive on the surface, excluding many elements like without additional catalysts or assistance, as suitable silicon precursors are limited and often require high temperatures or non-thermal variants. Purity concerns arise from incomplete ligand decomposition in organic-based precursors, leading to carbon incorporation in films, which can degrade electrical properties and optical transparency, particularly in oxides and nitrides. In the 2020s, efforts to mitigate these issues include spatial ALD configurations that separate precursor zones to enable continuous movement and deposition rates up to 100 times faster than traditional methods, alongside research into recyclable precursors to reduce costs and waste. As of 2025, and techniques are increasingly applied to optimize ALD parameters, enhancing deposition rates and reducing operational costs.

References

  1. [1]
    [PDF] An Introduction to Atomic Layer Deposition
    An Introduction to Atomic. Layer Deposition. Dr. Vivek H. Dwivedi. NASA GSFC ... Atomic Layer Deposition (ALD): An Enable for Nanoscience and Nanotechnology.
  2. [2]
    [PDF] Atomic Layer Deposition: An Overview - Penn Engineering
    Atomic Layer Deposition: An Overview. Steven M. George*. Department of ... Atomic Layer Deposition. Handbook of Thin. Film Materials; San Diego, CA, 2001 ...
  3. [3]
    Characterizing the field of Atomic Layer Deposition: Authors, topics ...
    Jan 10, 2018 · Developed in the late 1970s by Tuomo Suntola and co-workers in Finland, it was first introduced with the name Atomic Layer Epitaxy (ALE) and ...
  4. [4]
    [PDF] Review of Recent Progress in Atomic Layer Deposition (ALD) of ...
    ALD OF TRANSITION METALS AND OXIDES. Atomic layer deposition is a process for depositing highly uniform and conformal thin films by alternating exposures of a ...
  5. [5]
  6. [6]
    New development of atomic layer deposition: processes, methods ...
    Wide range of materials can be grown by ALD process. These materials find applications in areas which include semiconductors, metals, insulators, organic and ...
  7. [7]
    A brief review of atomic layer deposition: from fundamentals to ...
    Atomic layer deposition (ALD) is a vapor phase technique capable of producing thin films of a variety of materials. Based on sequential, self-limiting ...
  8. [8]
  9. [9]
    Atomic Layer Deposition: An Overview | Chemical Reviews
    Atomic Layer Deposition: An Overview. Click to copy article linkArticle ... * E-mail address: Steven.George@Colorado.Edu. Open PDF. Chemical Reviews. Cite ...Missing: pdf | Show results with:pdf
  10. [10]
    [PDF] 1 Basics of Atomic Layer Deposition: Growth Characteristics and ...
    Atomic Layer Deposition in Energy Conversion Applications, First Edition. ... A review paper by Puruunen [3] from 2005 and the more recent update by ...
  11. [11]
    Understanding chemical and physical mechanisms in atomic layer ...
    Jan 22, 2020 · Atomic layer deposition (ALD) is a process defined by the sequential addition of precursors which undergo a self-limiting reaction with the ...Missing: seminal | Show results with:seminal
  12. [12]
    [PDF] Calculation of growth per cycle (GPC) of atomic layer deposited ...
    Mar 6, 2014 · In this paper a theoretical calculation is presented for the growth per cycle (GPC) of the film and the variation of GPC with OH concentration ...Missing: formula | Show results with:formula
  13. [13]
    From V. B. Aleskovskii's “Framework” Hypothesis to the Method of ...
    Aug 6, 2025 · ... Under the name "molecular layering," the method of atomic layer deposition was first developed in the early 60s of the last century [17,18] ...
  14. [14]
    A Short History of Atomic Layer Deposition: Tuomo Suntola's Atomic ...
    Oct 15, 2014 · The principle of ALD has been discovered twice; in the 1960s under the name “molecular layering” in the Soviet Union, and in the 1970s under the ...
  15. [15]
    Our story - ASM
    This includes investing in the novel technique of ALD (atomic layer deposition), leading to acquisitions of ASM Microchemistry in 1999, and ASM Genitech Korea ...
  16. [16]
    Wikipedia ALD history description updated
    Jan 25, 2016 · Microchemistry Ltd/ASM Microchemistry Ltd was the only manufacturer of commercial ALD-reactors in the 1990s. In the early 2000s, the expertise ...Missing: commercialization | Show results with:commercialization
  17. [17]
    [PDF] ALD High-k as a Common Gate Stack Solution for Nano-electronics
    (1) In 2007, Intel claimed its successful integration of ALD high-k dielectric and metal gate process into its 45 nm node technology as one of the biggest ...
  18. [18]
    (PDF) A 45nm Logic Technology with High-k+Metal Gate Transistors ...
    A 45 nm logic technology is described that for the first time incorporates high-k + metal gate transistors in a high volume manufacturing process.
  19. [19]
    Applied Materials Advances Sub-90nm Contacts with New ALD ...
    Jul 14, 2003 · Applied Materials Advances Sub-90nm Contacts with New ALD Tungsten Technology; iSprint ALD/CVD Centura System Brings Unmatched Capability for ...Missing: 2000s | Show results with:2000s
  20. [20]
    Researchers Improve Efficiency of Flexible OLED Encapsulation ...
    Mar 13, 2023 · In the early 2000s, researchers began to explore the use of Al2O3 as a material for encapsulating flexible electronics. One of the first studies ...
  21. [21]
    Atomic layer deposition on polymer based flexible packaging materials
    Atomic layer deposition (ALD) is a thin film deposition method where sequential exposures of gas phase reactants are used for the deposition of thin films with ...Missing: definition | Show results with:definition<|control11|><|separator|>
  22. [22]
    History of atomic layer deposition and its relationship with the ...
    Aug 16, 2013 · The motivation for developing the ALD technology was the need for high quality thin films in electroluminescent (TFEL) flat-panel displays.
  23. [23]
    From the Bottom-Up: Toward Area-Selective Atomic Layer ...
    Dec 19, 2018 · (Elsevier Ltd.) A review. Atomic layer deposition (ALD) is a vapor phase technique capable of producing thin films of a variety of materials.I. Introduction · Figure 1 · Figure 7
  24. [24]
    Theoretical Design Strategies for Area-Selective Atomic Layer ...
    May 22, 2024 · Area-selective atomic layer deposition (AS-ALD) is a bottom-up fabrication technique that may revolutionize the semiconductor manufacturing process.Figure 4 · Surface Reactivity And... · Inhibition Asd: Mesoscale...
  25. [25]
    x N prepared at high deposition rates with plasma-enhanced atomic ...
    Apr 1, 2025 · This work demonstrates high deposition rates of ∼30–60 nm/h in PEALD of superconducting NbxTi1−xN films through a supercycle process with ...Missing: speed | Show results with:speed
  26. [26]
    Recent advances in atomic layer deposition of superconducting thin ...
    May 9, 2025 · This review explores the role of ALD in the production of superconducting thin films, highlighting its ability to control film thickness at the atomic level.
  27. [27]
    Morphology-controlled MoS2 by low-temperature atomic layer ...
    In this work, we established a low-temperature ALD process for MoS2 deposition using bis(t-butylimino)bis(dimethylamino)molybdenum(VI) and H2S precursors. The ...
  28. [28]
    Low-Temperature Growth of 2D-MoS 2 Thin Films by Plasma ...
    Jun 28, 2023 · Mo(CO)6 is one of the most frequently reported precursors for ALD of MoS2, but it is known to have a low process temperature range (150–170 °C).
  29. [29]
    Artificial intelligence in atomic layer deposition - AIP Publishing
    Oct 16, 2025 · In a typical ALD process, a substrate is exposed sequentially to gaseous reactants that undergo self-limiting surface reactions.
  30. [30]
    Argonne researchers use AI to optimize a popular material coating ...
    Jun 24, 2021 · Businesses commonly use ALD to make semiconductor devices, but it also has applications in solar cells, lithium batteries and other energy- ...
  31. [31]
    A Review on Reactor Design and Surface Modification of Atomic ...
    Jul 4, 2025 · In this review, the fundamentals, main challenges, and reactor configurations spanning temporal atomic layer deposition (ALD) to spatial ALD ...
  32. [32]
    Roll-to-roll atomic layer deposition process for flexible electronics ...
    Aug 19, 2014 · We report the development of such a process for the deposition of 20 nm thickness Al 2 O 3 diffusion barrier layers on 500 mm wide polymer webs.
  33. [33]
    ALD ALE 2025 - AVS
    The conference will take place Sunday, June 22-Wednesday, June 25, 2025, at the International Convention Center Jeju (ICC Jeju), Jeju Island, South Korea. As in ...Program · Housing & Travel · Online Scheduler · Register
  34. [34]
    Surface chemistry of atomic layer deposition: A case study for the ...
    Jun 30, 2005 · This work aims to start the overviews on specific ALD processes by reviewing the experimental information available on the surface chemistry of the ...
  35. [35]
    [PDF] Modeling Atomic Layer Deposition of Alumina Using Reactive Force ...
    The overall reaction 2Al(CH3)3 + 3H2O →. Al2O3 + 3CH4 can be broken into two half-reactions. A) Al − OHS + Al(CH3)3 → Al − O − Al(CH3)2. S +. CH4. B) Al − CH3.
  36. [36]
    Influence of growth temperature on dielectric strength of Al2O3 thin ...
    Mar 24, 2022 · This study aimed to examine the growth characteristics and dielectric strength of ALD Al 2 O 3 films grown at low temperatures (≤ 150 °C)Missing: H2O seminal
  37. [37]
    Thermomechanical properties of aluminum oxide thin films made by ...
    Nov 18, 2022 · The results show that ALD Al2O3 grown at 200 and 300 °C is suitable for applications, where films are exposed to post-ALD thermal processing ...
  38. [38]
    Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities ...
    Aug 18, 2011 · Plasma-assisted atomic layer deposition (ALD) is an energy-enhanced method for the synthesis of ultra-thin films with Å-level resolution in which a plasma is ...I. Introduction · Ii. Plasma Basics · C. Remote Plasma Ald
  39. [39]
    [PDF] Plasma-Assisted Atomic Layer Deposition of Metal Oxides and Nitrides
    Chapter 6: Reaction mechanisms during plasma-assisted atomic layer deposition ... NH3 is used as N source, an equivalent chemisorption mechanism occurs as in the.<|control11|><|separator|>
  40. [40]
    Spatial atomic layer deposition: A route towards further ...
    This paper gives a perspective of past and current developments in spatial ALD. The technology is discussed and the main players are identified.
  41. [41]
    Photochemical atomic layer deposition and etching - ScienceDirect
    Apr 15, 2016 · This paper presents a critical review of the exploitation of light in ALD to stimulate photochemical processes.
  42. [42]
    Highly Material Selective and Self‐Aligned Photo‐assisted Atomic ...
    May 6, 2021 · In Photo-assisted atomic layer deposition (Photo-ALD), photons supply energy to the deposition reactions on the surface.
  43. [43]
    Atmospheric-Pressure Plasma-Enhanced Spatial ALD of SiO2 ...
    Nov 8, 2021 · Gas diffusion barriers prepared by spatial atmospheric pressure plasma enhanced ALD. ... A roll-to-roll high-current diffuse dielec.
  44. [44]
    Atomic layer deposition (ALD): from precursors to thin film structures
    ALD uses alternate pulsing of precursor gases and vapors, with inert gas purging, and a self-limiting growth mechanism for stable, conformal film growth.Review · Introduction · Metal Films And Plasma-Ald
  45. [45]
    Assessing the Environmental Impact of Atomic Layer Deposition ...
    In ALD, the reactive precursors are often toxic or pyrophoric, thus leading to a certain level of risk. This risk could be reduced by developing nonpyrophoric ...
  46. [46]
    Atomic Layer Deposition of HfO2 Films Using TDMAH and Water or ...
    May 30, 2023 · A variety of chemical precursors were used for the ALD growth of HfO2. In most cases, the metal precursor was inorganic, chloride or iodide [18] ...
  47. [47]
    Recent trends in thermal atomic layer deposition chemistry
    Mar 18, 2025 · After 2010, amides/imides have been the most applied precursors in new ALD processes, followed by cyclopentadienyl compounds. However, ...
  48. [48]
    Atomic Layer Deposition of Fe2O3 Using Ferrocene and Ozone
    Here, we elucidate a self-limiting atomic layer deposition (ALD) process for the growth of hematite, α-Fe2O3, over a moderate temperature window using ferrocene ...
  49. [49]
    Atomic layer deposition of magnetic thin films - AIP Publishing
    Dec 29, 2023 · From Table II, it can be seen that besides the ferrocene, there is a wide variety of iron precursor alternatives for the a-Fe2O3 depositions.
  50. [50]
    Selective spatial atomic layer deposition of Cu, Cu2O, and CuO thin ...
    The selective deposition of Cu, Cu 2 O, and CuO thin films at low temperatures (160–260 °C) has been achieved by using Cu(I)(hfac)(tmvs) as copper source.
  51. [51]
    Introducing the Revolutionary Open-Air Spatial ALD of Cu, Cu2O ...
    Apr 18, 2023 · ALD can create oxides, nitrides, and metals. It has gained momentum for the deposition of metallic copper in recent years, be it thermal or ...
  52. [52]
    Modified atomic layer deposition of MoS2 thin films - AIP Publishing
    Nov 19, 2020 · These issues are often attributed to the low growth temperatures used in ALD (<350 °C). As a comparison, there are literature reports on the ...
  53. [53]
    Atomic Layer Deposition of Crystalline MoS2 Thin Films: New ...
    Mar 30, 2017 · In this study, we report the preparation of crystalline MoS2 films by ALD at a relatively low temperature of 300 °C, using a new molybdenum ...
  54. [54]
    ALD/MLD of 2-D perovskite passivation layers - ACS Fall 2025
    This research focuses on the design of a process for depositing hybrid organic—inorganic perovskite films through a combined ALD/MLD process ...
  55. [55]
    [PDF] Nanoscale Encapsulation of Hybrid Perovskites Using Hybrid ...
    May 2, 2022 · ALD reached atomic-layer precision by delivering alternating precursors in a self-limiting manner.31. When reaction conditions (e.g., ...
  56. [56]
    Nucleation and growth of low resistivity copper thin films on ...
    Nov 30, 2023 · It is still a big challenge to develop continuous, highly conformal and low resistivity copper thin films on polyimide substrates owing to the ...
  57. [57]
    Atomic Layer Deposition of Copper Metal Films from Cu(acac)2 and ...
    Jun 30, 2021 · Copper metal is of high preference in microelectronics for interconnections due to its low resistivity and high resistance to electromigration.
  58. [58]
    Preparation of tungsten-based thin films using a F-free W precursor ...
    Mar 15, 2022 · In this study, a F-free metalorganic W precursor (THMCT) and tert-butyl hydrazine (TBH) as reactant adopted aiming to deposit W metal via ALD.
  59. [59]
    Development of a Fluorine-Free Polymer-Assisted-Deposition Route ...
    Oct 11, 2020 · The fact that the metals remain homogenously mixed until the polymer is removed allows the formation of high-quality complex metal-oxide films.<|separator|>
  60. [60]
    Plasma-enhanced atomic layer deposition of elemental niobium thin ...
    Oct 14, 2025 · In addition, pure niobium metal becomes superconducting at a relatively high temperature of 9.2 K, making it a material of choice for ...
  61. [61]
    Atomic Layer Deposition of Superconductive Niobium Carbonitride ...
    Aug 19, 2025 · Although plasma-enhanced ALD (PEALD) is frequently used because it enables low deposition temperatures and high deposition rates, thermal ALD is ...
  62. [62]
    High-Quality HfO2 High-K Gate Dielectrics Deposited on Highly ...
    Feb 27, 2025 · In this study, an enhanced precursor atomic layer seeding (EPALS)-assisted ALD approach is proposed for depositing high-quality HfO2 gate oxide ...
  63. [63]
    Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k ...
    A plasma enhanced atomic layer deposition (PEALD) process was developed to deposit high-k dielectric constant materials using alternative metal t-butoxide ...
  64. [64]
    Atomic-layer-deposited high-k gate oxides on germanium
    Atomic layer deposition (ALD) is a useful high-k metal oxide film growth technique due to the precise nature of thickness control and uniformity of thickness ...
  65. [65]
    Low-Resistivity Titanium Nitride Thin Films Fabricated by Atomic ...
    Jul 18, 2023 · In the present study, thin films were grown by thermal ALD using titanium tetrachloride (TiCl4) and organic precursors alongside anhydrous ...Introduction · Experimental Section · Results and Discussion · Summary
  66. [66]
    Toward Advanced High‐k and Electrode Thin Films for DRAM ...
    Oct 26, 2022 · Atomic layer deposition (ALD) is a key technique that enables the growth of functional thin films for DRAM capacitors; thus, recent advances in ...
  67. [67]
    [PDF] Atomic layer deposition : from reaction mechanisms to 3D - Pure
    Jan 1, 2011 · ALD is used for the TiN electrode and for the aluminum/hafnium ... the ALD deposition of TiN and TaN as Cu and Li diffusion barrier layer.
  68. [68]
    Atomic Layer Deposition of Ru for Replacing Cu-Interconnects
    Jul 8, 2021 · The Ru precursor permits enhanced nucleation and GPC at relatively low deposition temperatures to construct high-quality Ru films with ...
  69. [69]
    Optimization of Plasma-Enhanced Atomic Layer Deposition of ...
    This work describes the various "knobs" that can be used in order to optimize the deposition of cobalt by Plasma-Enhanced Atomic Layer Deposition for ...
  70. [70]
    Atomic Layer Deposition of Cobalt Using H2-, N2-, and NH3-Based ...
    Sep 5, 2018 · This work investigates the role of the co-reactant for the atomic layer deposition of cobalt (Co) films using cobaltocene (CoCp 2 ) as the precursor.Introduction · Experimental Section · Results · Supporting Information
  71. [71]
    Sub-nanometer Atomic Layer Deposition for Spintronics in Magnetic ...
    Jul 2, 2014 · We report on the successful integration of low-cost, conformal, and versatile atomic layer deposited (ALD) dielectric in Ni–Al2O3–Co magnetic ...
  72. [72]
    (PDF) Atomic Layer Deposition: An Enabling Technology for ...
    Aug 14, 2025 · Applications of ALD in the fabrication of advanced gate stacks, on-chip capacitors, and thin film magnetic heads are presented. ResearchGate ...
  73. [73]
    Area-Selective Deposition of AlO x and Al-Silicate for Fully Self ...
    Feb 1, 2023 · In this work, AlOx and Al-silicate atomic layer deposition (ALD) processes are studied to provide suitable materials for ASD-enabled FSAV.Missing: 2020s | Show results with:2020s
  74. [74]
    Area-Selective Atomic Layer Deposition through Selective ... - NIH
    Jul 11, 2025 · ALD makes use of alternating self-limiting surface reactions separated by purge steps to build up a material with a very accurate thickness ...
  75. [75]
    Surface Passivation Performance of Atomic-Layer-Deposited Al2O3 ...
    A record efficiency of 25% has been realized on c-Si solar cells with a thermally grown SiO2 passivated rear surface. While in recent years, aluminum oxide (Al2 ...
  76. [76]
    High power nano-structured V2O5 thin film cathodes by atomic layer ...
    Atomic layer deposition (ALD) has been used to prepare nano-structured cathode films for Li-ion batteries of V 2 O 5 from VO(thd) 2 and ozone at 215 °C.
  77. [77]
    High performance of V2O5 thin film electrodes for lithium-ion ...
    Feb 1, 2022 · The cathodes achieving a remarkable high average discharge capacity up to 271 mAh/g at 0.5C with a close to 100% of coulombic efficiency.
  78. [78]
    Atomic Layer Deposition of the Solid Electrolyte LiPON
    We demonstrate an atomic layer deposition (ALD) process for the solid electrolyte lithium phosphorousoxynitride (LiPON) using lithium tert-butoxide (LiO t Bu), ...
  79. [79]
    Pt/C catalysts synthesized in a commercial particle atomic layer ...
    Oct 14, 2024 · Pt/Cs synthesized via particle ALD demonstrate increased PEM fuel cell durability over 30 000 cycles of Pt dissolution testing, attributed ...
  80. [80]
    Enhancing the durability of Pt nanoparticles for water electrolysis ...
    Jan 26, 2024 · We demonstrate that only a few cycles of SiO 2 ALD can strongly improve the stability of Pt catalyst for the hydrogen evolution reaction.
  81. [81]
    Atomic-Layer-Deposition-Free Monolithic Perovskite/Silicon Tandem ...
    Aug 26, 2024 · This paper reports a 29.91% power conversion efficiency in a perovskite/silicon tandem solar cell, achieved by replacing ALD with thermal ...
  82. [82]
  83. [83]
  84. [84]
  85. [85]
  86. [86]
  87. [87]
  88. [88]
    Permeation Barriers Grown by Atomic Layer Deposition Endow Non ...
    May 15, 2025 · We explore gas diffusion barriers grown by atomic layer deposition (ALD) and demonstrate that aluminum oxide barriers grown at 80 °C afford OSCs that can be ...
  89. [89]
    Monitoring atomic layer deposition processes in situ and in real-time ...
    Monitoring atomic layer deposition processes by spectroscopic ellipsometry allows film thickness control in the sub-nanometer range. In addition, ellipsometry ...
  90. [90]
  91. [91]
  92. [92]
    [PDF] MODELING AND TECHNOLOGY DEVELOPMENT FOR SENSITIVE ...
    In addition, it has the advantage of nearly 100% uniformity over very high aspect ratios (over 100:1). ... However, as mentioned in § 3.2, atomic layer deposition ...
  93. [93]
    Deposition of HfO2 by Remote Plasma ALD for High-Aspect-Ratio ...
    May 23, 2025 · While DP-ALD achieved higher deposition rates, RP-ALD offered superior conformality and film uniformity, achieving nearly 100% step coverage ...
  94. [94]
    [PDF] Atomic Layer Deposition for Semiconductors | EPFL
    two magnetic layers, the electrical conduction which occur via the tunneling mechanism through the thin dielectric layer modulates, which is the basic principle.
  95. [95]
    Atomic Layer Deposition of PbS Thin Films at Low Temperatures
    Sep 16, 2020 · A great number of different materials can be deposited with ALD: at least 501 unique compositions according to the database of ALD processes.
  96. [96]
    Atomic Layer Deposition (ALD) - Semiconductor Engineering
    Batch, single-wafer and spatial tools are geared for specific applications, and each tool type has its advantages and disadvantages. In one possible futuristic ...
  97. [97]
    Atomic Layer Deposition Expected to Grow to Meet Demand for ...
    Jun 8, 2022 · Rabinzohn: Versatility: Beneq Transform® 300 is the only 300mm ALD cluster tool offering both Thermal ALD (batch) and Plasma ALD (single wafer) ...
  98. [98]
    Assessing the Environmental Impact of Atomic Layer Deposition ...
    This paper reviews the studies carried out on the assessment of the environmental impact of ALD and summarizes the main results reported in the literature.
  99. [99]
    [PDF] Assessing the Environmental Impact of Atomic Layer Deposition ...
    Nov 23, 2023 · Using these so-called “ALD cycles”, the ideal process enables the surface-limited, self-terminated atomic layer-by-layer growth of nanomaterials ...
  100. [100]
  101. [101]
    ALD Equipment Market Size & Top Players Analysis, 2032
    These systems often cost several million dollars per unit, making it a substantial capital expenditure for semiconductor manufacturers, especially small and mid ...
  102. [102]
  103. [103]
    Atomic layer deposition of silicon-based dielectrics for ...
    Sep 24, 2019 · In this focused review, the authors look at the status of thermal and plasma-assisted ALD of these three Si-based dielectric films.
  104. [104]
    Atomic and Molecular Layer Deposition of Alkali Metal Based Thin ...
    In this review, our intention is to present the current state of research in the field by (i) summarizing the ALD and ALD/MLD processes so far developed for the ...4. Lithium-Based Ald... · Binary Li-Based Processes · Ternary Li-Based Processes