Applied Materials
Applied Materials, Inc. is an American corporation that provides equipment, services, and software for manufacturing semiconductors, displays, and related products worldwide.[1] Founded on November 10, 1967, and headquartered in Santa Clara, California, the company specializes in materials engineering solutions essential for fabricating integrated circuits and advanced displays through processes including deposition, etching, and rapid thermal processing.[2][3] With over 35,700 employees and more than 22,000 patents, Applied Materials holds a dominant market position as the largest U.S.-based semiconductor equipment provider, enabling innovations in AI chips, computing, and consumer electronics that underpin the global electronics industry.[2][4] In fiscal 2024, it generated record revenue of $27.2 billion, primarily from its Semiconductor Systems segment, which supplies wafer fabrication tools critical to nearly every new chip produced.[5][6] Despite its technological leadership, Applied Materials has encountered controversies, including a U.S. Department of Justice criminal investigation into alleged unauthorized shipments of equipment to China's SMIC via South Korea, potentially violating export controls, as well as lawsuits in China over trade secret disclosures and service halts leading to estimated $400 million in lost revenue.[7][8][9] The company was also denied a CHIPS Act grant for a $4 billion R&D project amid broader U.S. efforts to restrict technology transfers to adversarial nations.[10]History
Founding and Early Development (1967–1980s)
Applied Materials was founded on November 10, 1967, in Santa Clara, California, by Michael A. McNeilly and four associates with seed capital from local investors, establishing a Silicon Valley startup aimed at supplying equipment to the burgeoning semiconductor industry.[11] [12] The company initially focused on chemical vapor deposition (CVD) systems designed for epitaxial film growth, a critical process for depositing crystalline layers onto silicon substrates to enable integrated circuit fabrication.[13] [14] This emphasis on turnkey equipment marked a shift in industry dynamics, allowing chipmakers to outsource complex deposition processes rather than building systems in-house.[13] In its early years, Applied Materials developed foundational products such as pipelines and control systems for handling flammable silane gas, followed by infrared epitaxy tools that achieved precise thin-film deposition.[15] By emphasizing reliable, production-ready systems, the company captured an early market share in wafer fabrication equipment, reaching approximately 6.5% by the early 1970s.[16] Revenues grew at over 40% annually from 1967 to 1973, reflecting demand from expanding semiconductor production.[14] The company went public on October 1, 1972, via an initial public offering on NASDAQ at $10 per share, which provided funds for technological advancements and operational scaling.[17] [18] By 1976, annual revenue had reached $17 million, supporting international expansion including a European office.[12] In 1977, James C. Morgan assumed the role of CEO, averting near-bankruptcy through cost controls and strategic recruitment of engineers like Dan Maydan, while prioritizing high-quality products for emerging markets such as Japan.[12] [19] Throughout the 1980s, Applied Materials sustained growth by refining CVD technologies and targeting Japanese semiconductor firms, which accounted for significant incremental revenue, culminating in $100 million in annual sales by 1984.[20] [21]Growth Through Acquisitions and Market Expansion (1990s–2000s)
In the 1990s, Applied Materials expanded its technological portfolio and market presence through targeted acquisitions and ventures into flat panel display (FPD) manufacturing. The company initiated FPD process development in 1990 with chemical vapor deposition (CVD) systems tailored for notebook computers, desktop monitors, and televisions.[22] In September 1993, it established a 50/50 joint venture with Komatsu Ltd., forming Applied Komatsu Technology Inc. (AKT) to produce thin film transistor deposition systems for active matrix liquid crystal displays used in laptops and monitors.[22] This move diversified beyond semiconductors, leveraging core deposition expertise amid rising demand for displays. By mid-decade, Applied Materials had opened operations across Asia, solidifying its global footprint and achieving industry leadership with annual revenue surpassing $4 billion.[12] Key acquisitions bolstered inspection, chemical mechanical planarization (CMP), and software capabilities. In November 1996, the company acquired Israeli firms Orbot Instruments Ltd. and Opal Technologies Inc. for a combined $285 million, enhancing wafer and photomask inspection technologies critical for yield improvement.[23] In December 1998, it completed a stock-for-stock merger with Consilium Inc., integrating manufacturing execution system software to optimize fab operations.[22] Further, in October 1999, Applied Materials purchased Obsidian Inc. for $150 million to advance slurry-free CMP processes and acquired Komatsu's remaining 50% stake in AKT for $87 million cash, fully owning the FPD venture after reversing an earlier discontinuation plan due to rebounding market demand.[22] These steps addressed technology gaps, enabling end-to-end solutions for customers.[19] Entering the 2000s, Applied Materials continued acquisition-driven growth amid semiconductor cycles, focusing on photomask technology and international distribution. In March 2000, it acquired Etec Systems Inc. in a stock transaction exchanging 1.298 Applied shares per Etec share, adding electron-beam mask writers and inspection tools to support advanced lithography.[24] In 2001, the company bought Oramir Semiconductor Equipment Ltd. for $21 million, gaining plasma cleaning systems for wafer processing.[25] Expansion included new facilities in Israel and India, plus the Maydan Technology Center for R&D, under CEO Mike Splinter, while venturing into solar photovoltaic equipment to tap emerging energy markets.[12] In December 2004, Applied Materials acquired Metron Technology N.V.'s operating subsidiaries, strengthening European sales and service networks.[26] This era's strategy emphasized complementary technologies, sustaining leadership despite dot-com downturns.[19]Adaptation to Industry Cycles and Recent Milestones (2010s–2025)
During the 2010s, Applied Materials navigated semiconductor industry downturns by divesting underperforming segments and refocusing on core strengths in deposition and etching equipment, exemplified by its 2010 decision to exit the thin-film solar market and shutter the SunFab division amid unviable economics in photovoltaic manufacturing. This adaptation preserved capital for high-margin logic and memory chip tools, enabling recovery during the mid-decade boom driven by mobile computing demand, with revenue climbing from $9.3 billion in fiscal 2012 to $14.5 billion in fiscal 2017 through efficiency gains and market share expansion.[11] A pivotal milestone came in 2011 with the $4.9 billion acquisition of Varian Semiconductor Equipment Associates, which bolstered Applied's ion implantation capabilities for advanced doping processes essential to shrinking transistor sizes in sub-10nm nodes.[27][28] This move fortified resilience against cycles by diversifying into complementary wafer processing technologies, contributing to sustained leadership in a market prone to overcapacity and pricing pressures from foundry consolidations. Entering the 2020s, Applied capitalized on the global chip shortage from 2020–2022, which stemmed from pandemic-disrupted supply chains and surging electronics demand, by ramping production of fabrication tools for automotive and high-performance computing chips, with fiscal 2022 revenue surging 47% year-over-year to $25.2 billion.[29] The ensuing AI-driven boom further accelerated adaptation, as investments in materials engineering for power-efficient, high-density chips aligned with hyperscaler capex; for instance, Q3 2025 sales projections reached $7.2 billion, up 6.5% year-on-year, propelled by AI logic and DRAM equipment demand.[29][30] Key 2020s milestones included the 2022 acquisition of Picosun Oy, enhancing atomic layer deposition for specialty semiconductors used in power devices and sensors, and a 2025 strategic 9% stake in BE Semiconductor Industries to integrate advanced packaging solutions amid heterogeneous integration trends.[31][32] In October 2025, Applied launched the Kinext Bonding System and Provision 10 Ebeam metrology tool, targeting gate-all-around transistors and backside power delivery for next-generation AI accelerators, underscoring proactive R&D to counter cyclical slowdowns via innovation in performance-per-watt metrics.[33] However, amid softening non-AI demand in 2025, the company announced a 4% workforce reduction to streamline operations, reflecting disciplined cost controls typical of equipment makers during inventory corrections.[34]Products and Technologies
Semiconductor Manufacturing Equipment
Applied Materials supplies a comprehensive portfolio of wafer fabrication equipment essential for semiconductor device manufacturing, encompassing processes such as materials deposition, selective removal, property modification, and metrology.[35] In fiscal year 2024, this segment generated 73% of the company's total revenue of $27.18 billion, underscoring its dominance in enabling the production of advanced chips for applications including logic, memory, and power semiconductors.[36] [37] The firm holds approximately 20% of the global semiconductor wafer fabrication equipment market share as of 2023, with particular leadership in deposition and etch technologies amid rising demand for AI-driven high-performance computing.[6] [38] Deposition systems form a core offering, utilizing techniques like physical vapor deposition (PVD), chemical vapor deposition (CVD), and atomic layer deposition (ALD) to apply precise thin films and metals onto wafers, critical for interconnects and transistor structures in sub-3nm nodes.[39] [40] These tools support the scaling of Moore's Law by enabling uniform, conformal coatings that reduce defects and improve yield in complex multilayer devices.[41] Applied Materials' innovations in this area, such as integrated solutions for high-k dielectrics and copper barriers, have facilitated transitions to advanced architectures like FinFETs and gate-all-around transistors.[35] Etch and shaping equipment provide atomic-level precision in material removal, selectively patterning wafers to define circuit features after deposition or lithography steps.[42] These systems employ plasma-based reactive ion etching and other dry processes to achieve high aspect ratios and minimal undercutting, vital for 3D NAND and DRAM scaling.[38] The company's etch tools integrate advanced chemistry and endpoint detection to enhance selectivity, addressing challenges in multi-patterning schemes required for nodes below 5nm.[35] Ion implantation systems deliver doping for altering electrical properties in silicon and compound semiconductors, embedding ions via high-energy beams or plasma immersion to form junctions and wells.[43] Applied Materials leads in this domain with platforms like the VIISta series, including hot implant variants for silicon carbide (SiC) wafers up to 200mm, which activate dopants at elevated temperatures to boost throughput for power electronics in electric vehicles.[44] [45] Such capabilities extend to high-current, medium-mass implanters for logic and memory, supporting over 20 implant steps per modern chip.[43] Complementary metrology and inspection tools, including eBeam systems and optical scanners like HawkEye, ensure process control by detecting defects and measuring critical dimensions in real-time.[41] These enable feedback loops for yield optimization across fabrication flows. Overall, Applied Materials' equipment emphasizes PPACt metrics—power, performance, area, cost, and time-to-market—through modular, upgradable designs tailored for AI-era demands and sustainable manufacturing.[41][35]Display and Adjacent Market Solutions
Applied Materials' Display and Adjacent Market Solutions segment supplies equipment, processes, and materials for fabricating thin-film transistor (TFT) arrays, organic light-emitting diode (OLED) layers, encapsulation, and touch sensors used in flat-panel displays. This includes large-area deposition tools critical for producing liquid crystal displays (LCDs) and OLED panels at scales from mobile devices to televisions.[46][47] Core technologies encompass plasma-enhanced chemical vapor deposition (PECVD) systems like the AKT-PX, which deposit amorphous silicon (a-Si), low-temperature polysilicon (LTPS), and metal oxide films for TFT backplanes in both LCD and active-matrix OLED (AMOLED) displays. a-Si TFT-LCD technology has underpinned active-matrix LCD production for over two decades, enabling high-volume manufacturing of panels with resolutions suitable for consumer electronics. LTPS and metal oxide variants support higher electron mobility for brighter, more efficient OLEDs in premium smartphones and wearables.[48][49] OLED-specific offerings address deposition of organic electroluminescent materials onto glass or flexible polyimide substrates, alongside thin-film encapsulation (TFE) to shield layers from environmental degradation. These solutions enable OLED advantages such as superior contrast ratios, wider color gamuts, and form factors including flexible or foldable designs, positioning OLED as a successor to LCD in high-end applications. In November 2024, Applied Materials launched the MAX OLED solution, integrating maskless pixel deposition, encapsulation, and glass handling on Generation 8 substrates (up to 2200 x 2500 mm), targeting cost-effective scaling for tablets, laptops, and monitors with claimed improvements in brightness, resolution, and lifespan up to five times longer than conventional OLEDs.[50][51][52] Adjacent solutions extend to touch integration, supporting projected capacitive sensors and in-cell touch panels embedded within display stacks for seamless user interfaces in smartphones and tablets. Advanced metrology tools, such as e-beam review systems, enhance defect detection and process control for sub-micron features in high-resolution OLED and LCD production. The segment traces its roots to innovations dating back over 30 years, initially enabling the LCD industry's global expansion before adapting to OLED's rise amid cyclical demand tied to consumer electronics cycles.[53][54][55]Services and Emerging Applications
Applied Materials provides comprehensive service solutions designed to maximize equipment uptime, productivity, and on-wafer performance across research and development to high-volume manufacturing stages.[56] These services encompass preventive maintenance, rapid repairs, system upgrades, and performance optimization for semiconductor fabrication tools, supported by a global network of field service engineers who install, maintain, and upgrade multimillion-dollar production systems.[57] The company also offers supply chain solutions to ensure timely delivery of spare parts and components, mitigating risks in complex global manufacturing environments.[58] A significant portion of Applied Materials' services revenue derives from long-term subscription agreements, which accounted for approximately 60% of recurring parts, services, and software income as of 2022, providing predictable revenue streams and enhanced value through customized support packages.[59] Additional offerings include the My Applied Customer Portal, enabling clients to access service requests, materials procurement, and technical support efficiently.[60] ECO Services integrate hardware, software, and analytics to connect process tools and subfab resources for improved energy efficiency and reduced operational costs.[61] In emerging applications, Applied Materials is advancing materials engineering for quantum computing hardware, emphasizing precise deposition and etching techniques to enable scalable qubit fabrication and coherence times, as highlighted in industry perspectives from June 2025.[62] The company collaborates on AI infrastructure, including a September 2025 partnership with GlobalFoundries to develop photonics solutions like high-quality waveguides for augmented reality glasses, leveraging its expertise in thin-film deposition for optical components.[63] Further innovations target mainstream and emerging semiconductor technologies, such as stack engineering for memory cell scaling and thermodynamic modeling via tools like Ginestra software, supporting transitions to advanced nodes beyond 2nm.[64] Research efforts also extend to sustainability applications, including low-temperature plasmas for nanofabrication in next-generation microelectronics and energy-efficient processes.[65]Financial Performance
Revenue, Profitability, and Growth Metrics
In fiscal year 2024, ending October 27, 2024, Applied Materials generated record revenue of $27.18 billion, reflecting a 2.8% increase from $26.42 billion in fiscal 2023 and marking the company's fifth consecutive year of annual revenue expansion amid sustained demand for semiconductor manufacturing equipment.[66][67] Gross margin reached 47.5% on a GAAP basis, supported by operational efficiencies and a favorable product mix favoring high-value systems for advanced nodes.[66] Net income for the year totaled $7.18 billion, with diluted earnings per share (EPS) of $8.68, a 6.4% rise from $8.16 in fiscal 2023, driven by revenue growth and margin expansion despite cyclical pressures in the semiconductor industry.[68] For the third quarter of fiscal 2025, ending July 27, 2025, revenue climbed to a quarterly record of $7.30 billion, up 8% from $6.77 billion in the year-ago quarter, fueled by strong sales in semiconductor systems and services amid AI-driven chip demand.[69][70] Gross profit stood at $3.56 billion, yielding a gross margin of approximately 48.8%, while non-GAAP diluted EPS was $2.48, exceeding analyst expectations and underscoring profitability resilience.[70] Operating expenses remained controlled at 18.2% of revenue, contributing to an operating margin of about 30%.[71] Growth metrics highlight Applied Materials' alignment with semiconductor market cycles, with compound annual growth rate (CAGR) for revenue averaging approximately 10% from fiscal 2019 to 2024, outpacing broader industry averages during recovery phases post-downturns like 2022-2023.[72] Year-over-year quarterly revenue growth accelerated to 6.55% in recent periods, reflecting recovery from inventory corrections and ramp-up in high-bandwidth memory and logic fabrication tools.[73] The company anticipates continued expansion into fiscal 2026, positioning for a sixth straight year of growth, contingent on capital spending by major foundries like TSMC.[71]| Fiscal Year | Revenue ($B) | YoY Growth (%) | GAAP Gross Margin (%) | Diluted EPS ($) |
|---|---|---|---|---|
| 2020 | 17.22 | -4.0 | 45.0 | 3.00 |
| 2021 | 23.56 | 36.8 | 46.2 | 5.18 |
| 2022 | 25.17 | 6.8 | 47.0 | 6.75 |
| 2023 | 26.42 | 5.0 | 47.3 | 8.16 |
| 2024 | 27.18 | 2.8 | 47.5 | 8.68 |
Market Capitalization and Shareholder Returns
As of October 24, 2025, Applied Materials had a market capitalization of $182.23 billion, reflecting a 7.80% increase over the prior year driven by strong demand in semiconductor equipment sectors.[74] This valuation positioned the company as one of the largest in the semiconductor manufacturing equipment industry, with its stock price supporting the cap based on approximately 796 million shares outstanding at around $220 per share in late October.[75] Historical market cap growth has been robust, expanding from about $100 billion in 2020 to the current level amid cycles of semiconductor industry booms, though it experienced volatility such as a dip during the 2022 downturn before rebounding sharply in 2023-2025.[75] Applied Materials has prioritized shareholder returns through a combination of dividends and share repurchases, distributing approximately $40 billion to investors over the past decade via these mechanisms.[76] In fiscal year 2025, the company announced a 15% increase in its quarterly dividend to $0.36 per share, payable starting in March 2025, alongside a new $10 billion share repurchase authorization to enhance capital returns amid favorable cash flows.[77] During the third quarter of fiscal 2025 (ended July 2025), Applied Materials returned $1.4 billion to shareholders through dividends and buybacks, with roughly $14.8 billion remaining under its repurchase programs at that time.[78] Total shareholder return (TSR) for Applied Materials has outperformed broader market benchmarks in recent periods, with a 41.79% year-to-date gain through October 2025 and a 25.79% return over the trailing 12 months, incorporating dividends and price appreciation.[79] Over five years, TSR reached 301.78%, reflecting the company's resilience through industry cycles and its focus on high-margin semiconductor tools, though shorter-term returns can fluctuate with global chip demand and supply chain factors.[79] These returns are supported by a dividend yield of approximately 0.75% trailing and a forward yield of 0.80%, complemented by aggressive buybacks that reduce share count and bolster earnings per share.[80]Economic Impact and Industry Contribution
Applied Materials, a leading provider of semiconductor manufacturing equipment, generated $27.18 billion in revenue for fiscal year 2024, reflecting its substantial role in the global technology supply chain.[2] The company employs approximately 35,700 full-time workers across 207 cities in 24 countries as of October 2024, with significant concentrations in the United States, Asia, and Europe, contributing directly to skilled labor markets in high-tech regions.[81] Its market capitalization stood at around $173.5 billion in October 2025, underscoring investor confidence in its alignment with expanding semiconductor demand driven by artificial intelligence and advanced computing.[82] In the semiconductor equipment sector, Applied Materials holds dominant positions, including a 44% market share in deposition processes essential for layering materials onto wafers, enabling the production of smaller, more efficient chips that adhere to scaling laws like Moore's Law.[38] Approximately 73% of its fiscal 2024 revenue derived from semiconductor systems, which support the fabrication of logic, memory, and advanced nodes critical for AI accelerators and data centers.[36] This equipment leadership facilitates industry-wide productivity gains, as global semiconductor equipment sales are projected to reach $125.5 billion in 2025, bolstered by investments under initiatives like the U.S. CHIPS Act that amplify domestic manufacturing capacity.[83] The company's $3.2 billion annual investment in research and development in fiscal 2024 drives technological advancements that extend beyond direct sales, fostering ecosystem-wide efficiencies and job creation in the broader semiconductor value chain.[2] By enabling high-volume production of chips used in electronics, automotive, and renewable energy applications, Applied Materials indirectly supports hundreds of thousands of jobs in the U.S. semiconductor industry, which contributed $246.4 billion to GDP in 2020 and continues to expand through supplier networks and fabrication facilities.[84] Its participation in regional clusters, such as expansions in Arizona alongside TSMC, has attracted billions in private investment, enhancing local economies through infrastructure development and skilled workforce training.[85]Leadership and Organization
Executive Management
Gary E. Dickerson has served as president and chief executive officer of Applied Materials since December 2013, overseeing the company's strategic direction, operations, and growth in semiconductor and display equipment markets.[86] He joined the firm in 2011 as part of the acquisition of Varian Semiconductor Equipment Associates, where he had been CEO since 2004, leading its expansion in ion implantation technologies critical for advanced chip manufacturing. Dickerson holds a Bachelor of Science in engineering management from the University of Missouri-Rolla and a Master of Business Administration from the University of Missouri-Kansas City, providing a foundation in technical and managerial disciplines suited to the capital-intensive semiconductor sector.[87] Supporting Dickerson in core functions are executives with deep industry expertise. Prabu G. Raja, Ph.D., has led the Semiconductor Products Group as president since November 2017, managing deposition, etch, and other frontline equipment lines that constitute the bulk of Applied Materials' revenue.[86] Raja joined in 1995 as a process engineer, pioneering innovations in physical vapor deposition (PVD) systems, including ionized metal plasma technologies for titanium and titanium nitride films used in transistor fabrication, which helped establish market leadership in non-lithography segments.[88] He earned his doctorate from the Indian Institute of Technology Delhi, emphasizing process engineering advancements driven by empirical scaling challenges in Moore's Law-era nodes.[89] Brice A. Hill serves as senior vice president, chief financial officer, and head of global information services since March 2022, handling financial planning, mergers, and IT infrastructure amid volatile chip cycles.[86] With over 30 years in semiconductors, Hill previously was CFO at Xilinx (acquired by AMD in 2022), where he navigated acquisitions and supply chain disruptions, and held finance roles at KLA-Tencor and Applied Materials earlier in his career. He graduated from the University of Michigan's Stephen M. Ross School of Business, bringing causal insights into how fiscal discipline correlates with R&D investment returns in equipment firms.[90] Other key leaders include Omkaram Nalamasu, Ph.D., senior vice president and chief technology officer since 2006, who also heads Applied Ventures for strategic investments in nanomaterials and next-generation processes; and Teri Little, senior vice president, chief legal officer, and corporate secretary, managing regulatory compliance in export-controlled technologies.[86] This team structure emphasizes technical depth and operational resilience, with long tenures among engineering-focused executives reflecting the causal link between specialized knowledge and sustained innovation in materials engineering.[91]| Executive | Title | Notable Tenure and Expertise |
|---|---|---|
| Gary E. Dickerson | President and CEO | Since 2013; prior Varian CEO; engineering and MBA background in scaling equipment firms.[87] |
| Prabu G. Raja, Ph.D. | President, Semiconductor Products Group | Since 2017; joined 1995; PVD innovation leader.[88] |
| Brice A. Hill | SVP and CFO | Since 2022; 30+ years finance in semis, ex-Xilinx CFO. |
| Omkaram Nalamasu, Ph.D. | SVP and CTO | Since 2006; nanomaterials R&D and ventures.[86] |
Corporate Structure and Governance
Applied Materials, Inc. is incorporated as a Delaware corporation on November 10, 1967, and serves as the parent holding company for its global operations.[92] Headquartered at 3050 Bowers Avenue in Santa Clara, California, the company maintains a decentralized structure through over 50 wholly-owned subsidiaries, including entities such as Applied Materials Asia-Pacific, LLC (Delaware), Applied Materials (China) Holdings, Ltd. (China), and Applied Materials Japan, Inc. (Japan), which facilitate regional manufacturing, sales, and research activities across more than 20 countries.[93] [94] As a publicly traded entity on the NASDAQ under the ticker AMAT, ownership is predominantly institutional, with approximately 62.56% held by institutions including Vanguard Group (8.5%) and BlackRock (7.2%), while insiders hold about 0.3% and retail investors the remainder.[95] [96] The board of directors comprises 10 members as of October 2025, with a majority classified as independent directors to ensure objective oversight.[97] Thomas J. Iannotti serves as the independent non-executive Chairman, while Gary E. Dickerson holds the positions of President and Chief Executive Officer; this separation of roles allows the board flexibility in leadership structure while maintaining checks on executive power.[97] [98] Independent directors include Jim Anderson (CEO, Coherent Corp., appointed July 2025), Rani Borkar (President, Azure Hardware Systems, Microsoft), Judy Bruner (former CFO, SanDisk), Eric Chen (Executive Chairman, ParityBit Technologies), Aart J. de Geus (Executive Chair, Synopsys), Alexander A. Karsner (Senior Strategist, X/Alphabet), Kevin March (former CFO, Texas Instruments), and Scott A. McGregor (former CEO, Broadcom).[97] [99] The board meets regularly, holds executive sessions without management present, and conducts annual self-evaluations to assess performance and governance effectiveness.[100] Standing committees provide specialized oversight: the Audit Committee, composed entirely of independent directors, reviews financial reporting, internal controls, and compliance with laws including cybersecurity and tax strategies; the Corporate Governance and Nominating Committee identifies director candidates based on qualifications like industry expertise and independence, while developing governance policies; and the Human Resources and Compensation Committee sets executive pay structures aligned with performance metrics, oversees talent development, and administers a clawback policy adopted in September 2023 to recover incentive-based compensation in cases of financial restatements.[101] [102] [103] These committees operate under written charters reviewed annually, emphasizing accountability to shareholders through practices such as majority voting for directors, proxy access provisions, and annual say-on-pay advisory votes, which approved fiscal 2024 executive compensation in March 2025.[100] [104] The board's governance framework, updated as of July 3, 2025, prioritizes alignment with shareholder interests, ethical conduct via a Standards of Business Conduct code, and periodic solicitation of investor feedback on compensation and sustainability practices.[100] [105] [98]Global Operations
Manufacturing and R&D Facilities
Applied Materials maintains its corporate headquarters at 3050 Bowers Avenue, Santa Clara, California, which serves as a central hub for operations including research, development, and administrative functions.[106] The company operates volume manufacturing facilities in the United States and Singapore, supplemented by additional sites in Germany, Israel, Italy, Korea, and Taiwan, where it assembles, integrates, and tests proprietary and commercial components for semiconductor and display equipment.[107] These global manufacturing operations enable Applied Materials to support high-volume production while adapting to regional supply chain needs and customer proximity. In the United States, major production facilities are located in Texas, Massachusetts, and Montana, with a new $200 million site under development in Chandler, Arizona, announced in 2025 to bolster semiconductor manufacturing, R&D, and services capacity, potentially creating up to 200 jobs over five years.[108] Internationally, Singapore functions as a strategic hub encompassing manufacturing, R&D, and field services.[109] In July 2025, Applied Materials opened its first Latin American facility in Heredia, Costa Rica, leveraging local skilled labor and proximity to U.S. sites for expanded operations.[110] For research and development, Applied Materials has established state-of-the-art innovation centers worldwide to collaborate with chipmakers and ecosystem partners.[111] The EPIC Center in Silicon Valley, California, represents the company's largest facility for collaborative semiconductor process technology and equipment R&D.[112] An additional EPIC Center is slated to open in the first half of 2026, focusing on advancing chip innovations.[113] European operations include R&D in engineering and advanced research, such as a joint laboratory with CEA-Leti in France, expanded in June 2025 to target innovations in IoT, communications, automotive, power, and sensors applications.[114] These facilities underscore Applied Materials' emphasis on localized R&D to accelerate materials engineering solutions amid global technological demands.[115]| Facility Type | Key Locations | Notes |
|---|---|---|
| Manufacturing | United States (Texas, Massachusetts, Montana, Chandler AZ), Singapore, Germany, Israel, Italy, Korea, Taiwan, Costa Rica | Supports assembly and testing; recent expansions in AZ (2025) and Costa Rica (July 2025) for capacity growth.[107][108][110] |
| R&D | Silicon Valley CA (EPIC Center), Singapore, Europe (incl. France joint lab), planned global expansions | Focus on collaborative tech development; new EPIC in 2026; CEA-Leti expansion June 2025.[111][112][113][114] |