Fact-checked by Grok 2 weeks ago

POWER9

POWER9 is a family of 64-bit central processing units (CPUs) developed by and introduced in December 2017, implementing the version 3.0 with a focus on , , data analytics, and mission-critical enterprise applications. As of 2025, support for POWER9 systems ends on January 31, 2026. Fabricated using a 14 nm FinFET silicon-on-insulator (SOI) process with approximately 8 billion transistors on a approximately 25 mm × 27 mm die (695 mm²), POWER9 processors employ a modular single-chip module (SCM) design that supports scalable configurations from single-socket scale-out systems to multi-node enterprise servers with up to 192 cores. The emphasizes enhanced performance and efficiency, with configurable counts of 6, 8, 10, 11, or 12 active per module, each capable of up to 8-way () for a maximum of 96 threads per module. Clock speeds range from 2.8 GHz to 4.0 GHz depending on the variant and workload, paired with a hierarchical including 32 KB L1 instruction and 32 KB L1 data per , 512 KB L2 per , 10 MB embedded DRAM () L3 per (totaling 120 MB on-chip), and up to 128 MB off-chip L4 . support includes DDR4 at up to 1600 MHz across 8 channels per , delivering up to 230 GB/s bandwidth and enabling capacities of up to 64 TB in multi-node configurations. Key innovations in POWER9 include integrated PCIe Generation 4 I/O with up to 64 GB/s per slot and native 2.0 support for accelerator coherency, facilitating tight integration with GPUs and other devices via the Open Coherent (OpenCAPI). Advanced (RAS) features, such as processor instruction retry, core-contained checkstops, and dynamic sparing of failed components using Capacity on Demand resources, ensure high uptime for demanding environments. Energy management is handled through EnergyScale technology, offering variable frequency modes for optimized performance and power efficiency. POWER9 powers a range of servers, including the scale-out LC models for and the enterprise E980 for large-scale transactional processing, supporting operating systems like AIX, , and .

History and Development

Announcement and Design Goals

IBM announced the POWER9 processor family on August 23, 2016, during a presentation at the Hot Chips 28 symposium in Cupertino, California. The unveiling highlighted POWER9 as the next evolution in IBM's POWER architecture, succeeding the POWER8 and targeting the demands of the emerging "cognitive era" characterized by data-intensive computing. This announcement came amid growing industry focus on artificial intelligence (AI), machine learning, and high-performance computing (HPC), positioning POWER9 to address bottlenecks in traditional processor designs for these workloads. The primary design goals for POWER9 centered on enhancing performance for analytics, , cognitive applications, HPC, infrastructure, and environments. Key objectives included significantly increasing to handle massive datasets more efficiently, with scale-out variants delivering up to 120 GB/s and scale-up variants up to 230 GB/s, representing an effective doubling of compute resources per compared to POWER8. Additionally, the aimed to integrate advanced I/O capabilities, such as 2.0 for high-bandwidth GPU acceleration and PCIe Gen4 support with 48 lanes providing 192 GB/s duplex , to reduce and improve data transfer rates for . These features were intended to enable seamless scaling across single-socket scale-out systems and multi-socket scale-up configurations, optimizing for both density and capacity. Development of POWER9 involved close collaborations with key industry partners to foster an open ecosystem. IBM worked with to integrate 2.0, enabling direct, high-speed connections between POWER9 processors and GPUs for and HPC acceleration. Through the , which boasts over 200 members, IBM emphasized open innovation, including partnerships with and Rackspace for compliant server designs aligned with the . These efforts aimed to broaden adoption by supporting diverse workloads in enterprise servers, supercomputers like those for DOE initiatives, and cloud data centers.

Release Timeline and Milestones

The development of POWER9 culminated in the finalization of the processor's architecture ahead of fabrication, enabling the production of initial silicon samples by the second half of 2017, following intensive validation efforts to ensure compatibility with advanced 14 nm FinFET processes. However, the project encountered significant delays stemming from complexities in the 14 nm manufacturing node, including yield challenges at and broader supply chain disruptions that pushed back early availability timelines. filed a against GlobalFoundries in June 2021 over these and related roadmap failures, which was settled in January 2025 with undisclosed terms. IBM announced the first commercial POWER9-based system, the Power Systems AC922, in December 2017, with general availability beginning shortly thereafter and broader shipments ramping up in 2018. This server targeted high-performance computing (HPC) and AI workloads, integrating POWER9 processors with NVIDIA GPUs via NVLink interconnects. A key adoption milestone came in 2018 with the deployment of POWER9 in the Summit supercomputer at Oak Ridge National Laboratory, where it powered over 4,600 nodes to achieve exascale-level performance for scientific simulations. As IBM shifted focus to its successor, —announced in August 2020 and entering production in 2021—new manufacturing of POWER9 processors tapered off around 2020-2021, with end-of-sale dates for associated systems extending into 2023-2024. These transitions reflected the rapid evolution in IBM's Power roadmap, prioritizing next-generation capabilities for enterprise and HPC environments while maintaining support for existing POWER9 deployments through at least January 31, 2026.

Architecture

Core Design

The POWER9 core employs a superscalar, fabricated on a 14 nm FinFET process, designed to deliver enhanced single-thread performance while supporting (SMT). Each core supports up to eight hardware threads via SMT8, allowing efficient resource sharing among threads for improved throughput in multithreaded workloads, with modes configurable from single-thread (ST) to SMT8. The core features 12 execution pipelines, including four logic units (ALUs), four floating-point units (FPUs), vector/scalar units for 128-bit operations, and specialized units for division, , and , enabling wide issue widths for compute-intensive tasks. Additionally, it includes two symmetric load/store units and two dedicated load units, capable of handling up to four double-word loads or stores per cycle, which supports high-bandwidth data movement critical for data-centric applications. The pipeline design consists of 12 stages from fetch to completion for fixed-point operations, reduced by five stages compared to the POWER8, to balance frequency and latency while minimizing power consumption through agile local control and reduced hazard penalties. Enhancements over the POWER8 include larger rename buffers—20 primary entries plus 96-entry secondary history buffers per execution slice for registers like GPRs, FPRs, and VSRs—and improved branch prediction with a TAGE-style predictor supporting up to eight branches per cycle, a 64-entry link stack, and 512-entry global count cache, enabling better handling of unoptimized code and interpretive languages. These changes contribute to approximately 1.5 times the single-thread performance of the POWER8 core at equivalent frequencies. Clock speeds vary by variant, reaching up to 3.4–4.0 GHz in high-performance configurations to sustain this efficiency. The prioritizes low-latency access with a 32 eight-way set-associative L1 instruction and a 32 L1 data per , both optimized for thread partitioning in SMT modes. Each has a dedicated 512 L2 , eight-way associative with 128-byte lines, while L3 is shared, providing 10 MB per in a non-uniform (NUCA) totaling up to 120 MB on-chip for a 12- . The fully supports Vector Scalar Extensions (VSX) with four 128-bit SIMD pipelines, facilitating accelerated processing for and scientific workloads through operations like vector addition and matrix computations.

Scale-Out and Scale-Up Variants

The processor family features distinct scale-out and scale-up variants, each optimized for specific deployment scenarios in datacenter and environments. Scale-out variants are engineered for cost-effective, high-density servers, emphasizing dense packing and efficiency in clustered systems. These configurations typically employ SMT8 threading, supporting up to eight simultaneous threads per core, with available core counts of 4, 6, 8, 10, or 12 per chip. This design facilitates configurations such as 18 to 24 cores per socket in dual-chip modules (), ideal for scalable, Linux-oriented workloads in traditional datacenters. In contrast, scale-up variants target high-end enterprise and (HPC) applications, prioritizing per-socket throughput and multi-socket scalability. These use SMT4 threading, enabling up to four threads per for enhanced concurrency in thread-heavy tasks, with the same core count options of 4, 6, 8, 10, 11, or 12 per . Such setups support up to 24 cores per socket in dual- modules (DCM) or 12 cores in single-chip modules (SCM), allowing larger system images with greater logical density. The threading in scale-up variants builds on the design's multithreading capabilities to handle demanding, latency-sensitive operations. Core count variations across both variants—4, 6, 8, 10, 11, and 12 active per —are achieved by selectively enabling portions of the die's potential 24- layout, balancing and power. Scale-up variants generally incorporate larger per- cache allocations, such as 10 MB of L3 per , to support data-intensive processing in expansive systems, while scale-out maintains similar structures but optimized for lower latency in direct-attached setups. Power and thermal design further differentiates the variants to match their use cases. Scale-out chips operate at a (TDP) of approximately 150–225 W per chip, enabling efficient cooling and power delivery in densely populated, cost-optimized racks. Scale-up variants, designed for more integrated, high-performance nodes, support TDPs up to 200–250 W per chip, accommodating the increased thermal demands of higher threading and larger in multi-socket configurations. These power profiles ensure reliability in scale-out's volume deployments versus scale-up's focused, high-impact systems.

I/O and Interconnect Features

The POWER9 processor incorporates PCIe Generation 4 (Gen4) support, providing up to lanes per chip operating at GT/s, which enables high- connectivity for peripherals including storage devices, network adapters, and expansion cards. This configuration delivers approximately 192 GB/s of aggregate PCIe , doubling the throughput of PCIe Gen3 while maintaining with existing ecosystems. NVLink 2.0 serves as the primary high-speed interconnect for GPU acceleration on POWER9, offering 25 GB/s bidirectional per link and supporting up to 6 links per chip to facilitate direct, low-latency data transfer between the processor and attached GPUs such as the V100. This setup achieves an aggregate of up to 300 GB/s across all links, optimizing data movement in environments like and workloads. OpenCAPI provides a coherent for attaching accelerators, allowing custom and FPGAs to participate in the processor's domain with up to 25 /s bandwidth per port and support for 3 ports per chip. Operating over the same 25 Gbps signaling as , OpenCAPI enables flexible integration of specialized hardware while sharing ports when needed, with effective throughput reaching approximately 22.5 /s per link after protocol overhead. The on-chip fabric in POWER9 ensures efficient across its cores, L3 cache, memory controllers, and I/O units, delivering an aggregate of up to 1.8 TB/s for coherence traffic to support scalable multi-core and multi-chip operations. This internal interconnect uses high-speed buses, including 8 data buses and 4 snoop buses operating at frequencies up to 2400 MHz, to minimize in and directory-based coherence protocols.

Manufacturing and Variants

Process Technology

The POWER9 processor utilizes a 14 nm FinFET silicon-on-insulator (SOI) fabrication process developed in collaboration with GlobalFoundries. This advanced node features a 17-layer metal interconnect stack and embedded dynamic random-access memory (eDRAM) elements, enabling high-speed signaling and efficient on-chip caching. Each POWER9 chip integrates approximately 8 billion transistors, supporting complex multithreaded architectures while maintaining compatibility with high-performance computing workloads. For the scale-out variant optimized for single- and dual-socket systems, the die measures approximately 25.2 mm by 27.5 mm, yielding a total area of about 693 mm². The scale-up variant, designed for multi-socket configurations with up to 12 cores per die, employs a refined layout to accommodate additional I/O interfaces and memory controllers, resulting in a die area of around 693 mm² while preserving density. This marks a substantial from the 22 nm SOI technology of the , delivering higher transistor integration and reduced power leakage through FinFET structures that improve gate control and drive current. The node transition contributes to enhanced yield rates during manufacturing, primarily from shorter pipelines and optimized voltage scaling that lower dynamic power dissipation without sacrificing clock speeds up to 4.0 GHz. Initial production occurred at facilities, scaling to full volume output on the same foundry to meet demand for both scale-out and scale-up deployments.

Chip Modules and Packaging

The POWER9 processor is implemented in both single-chip module (SCM) and dual-chip module () configurations to address different system density and performance needs. The SCM consists of a single die housed in a (LGA) package with 3899 pins at a 1.5 mm interstitial pitch, measuring 68.5 mm × 68.5 mm overall. This design supports up to 12 cores in scale-up variants, enabling in enterprise environments with direct socket integration for multi-socket scalability. In contrast, the integrates two dies within a single module to enhance core density for scale-out applications, connecting the dies via an X-Bus interconnect that provides 64 GB/s per link for low-latency communication. Each die in a typically supports up to 12 cores, yielding a total of up to 24 cores per socket, which optimizes density in rack-mounted systems without requiring additional sockets. This modular approach allows POWER9-based systems like the S922 and S924 to scale to 20 or 24 cores across one or two sockets while maintaining efficient power and thermal management. The packaging technology for both SCM and employs a 7-2-7 layer organic substrate with flip-chip micro-bumps for die-to-substrate interconnections, ensuring high I/O density and . Micro-bumps facilitate reliable electrical and thermal paths, supporting advanced features like eight DDR4 channels per die. This configuration enables up to 2 TB of DDR4 per using 128 DIMMs across 16 slots (eight channels with dual DIMMs), providing substantial bandwidth for data-intensive workloads. POWER9 modules include enterprise variants optimized for high-core-count SCMs in scale-up servers, such as the E980 with up to 12 active cores per die for demanding transactional processing. In comparison, the CMG1 variant focuses on GPU-accelerated configurations, integrating interfaces for coherent access to GPUs in systems like the AC922, prioritizing and density over maximum core count. These options allow tailored packaging for diverse implementations while leveraging the shared POWER9 architecture.

Implementations

IBM Enterprise Systems

IBM's enterprise systems based on POWER9 processors form the core of its Power Systems lineup, designed for in data-intensive environments. The Power System AC922, introduced in 2018, targets and (HPC) workloads, featuring two POWER9 processors with up to 44 cores total, up to 2 TB of DDR4 memory, and support for up to six V100 GPUs connected via 2.0 for accelerated tasks. This 2U rack-mounted server emphasizes PCIe Gen4 I/O and OpenCAPI interfaces to handle large-scale data analytics and model training efficiently. Building on this, the scale-out variants include the Power System S922 and S922L, launched in 2018, which provide flexible configurations for enterprise-scale deployments. The S922, a 2U system with up to two POWER9 sockets and 22 active cores, supports up to 4 TB of DDR4 memory and 11 PCIe Gen4 slots, making it suitable for database management and through PowerVM. The S922L (also known as L922, model 9008-22L), optimized for environments, extends this with up to 24 cores across two sockets and a focus on large memory footprints for in-memory databases, achieving up to 4 TB to support workloads. For midrange needs, the Power System E950, a 4U announced in 2018, offers up to four POWER9 sockets with 48 cores and 16 TB of memory, ideal for consolidated enterprise applications such as healthcare systems like , where it delivers reliable performance for and . At the high end, the Power System E980, announced in 2018, represents IBM's scale-up flagship with modular scalability up to four nodes, providing up to 192 POWER9 cores and 64 TB of DDR4 in a 22U configuration. This system integrates advanced features like dynamic processor sparing and supports up to 32 PCIe Gen4 slots for expansive I/O, enabling high-availability clustering with PowerHA for mission-critical databases and . Across these systems, POWER9 enables seamless integration with mainframes in hybrid cloud architectures, allowing secure data sharing and workload portability between Power and environments for unified multicloud strategies. Applications span transactional databases, real-time , and inference, where the processors' high thread density and accelerate tasks like in large datasets. IBM began transitioning enterprise offerings to POWER10 processors in 2022, with POWER9-based systems like the E980 and E950 seeing reduced new shipments thereafter. Standard service support for select POWER9 models, including the AC922, S922, and E980, extends until January 31, 2026, after which customers are encouraged to migrate to newer generations for ongoing maintenance and features. These systems run AIX, , and distributions, ensuring broad compatibility for stacks.

Third-Party and Specialized Systems

Raptor Computing Systems emerged as a prominent OpenPOWER partner by developing fully platforms centered on POWER9 processors. In 2018, the company released the Talos II, a dual-socket EATX designed for and performance, supporting up to two POWER9 CPUs in a PowerNV without . This system emphasized auditable components from hardware to BMC , appealing to users prioritizing transparency and customization. Complementing it, the Blackbird offered a more compact, single-socket variant for cost-effective POWER9 deployment, maintaining the open-source ethos while targeting developers and small-scale computing needs. These platforms represented Raptor's commitment to free-software-friendly architectures, enabling widespread adoption in niche markets like secure and embedded applications. Google and Rackspace collaborated on the Zaius server design as an for cloud environments, leveraging POWER9's capabilities for high-performance workloads. Announced in 2016 with draft specifications released later that year, Zaius integrated dual POWER9 scale-out processors with OpenCAPI and interconnects, adhering to standards for efficient data center scalability. Optimized for deployments, the platform supported Rackspace's private cloud initiatives and Google's hyperscale requirements, facilitating accelerated computing in virtualized settings. By 2018, Google had confirmed POWER9 integrations in its data centers, underscoring Zaius's role in broadening OpenPOWER's cloud footprint. Penguin Computing contributed to the OpenPOWER ecosystem with HPC-oriented systems incorporating POWER9, including variants in its Magna series based on reference designs like Barreleye. Launched around 2018, these servers targeted high-performance computing applications, offering configurations with liquid cooling options to handle dense GPU-accelerated workloads efficiently. The Relion series extended this focus, providing flexible rack-mount solutions for enterprise HPC, with air and direct-to-chip liquid cooling to support sustained high-throughput operations in data centers. Wistron developed specialized POWER9-based servers for diverse applications, including edge computing scenarios. The P93D2-2P (MiHawk), a 2U dual-socket system using scale-out POWER9 processors, supported up to high-core-count configurations for demanding edge and data processing tasks. Certified under OpenPOWER Ready, this platform integrated PCIe Gen4 for enhanced I/O performance, making it suitable for low-latency environments like industrial IoT and distributed analytics. Following IBM's withdrawal of POWER9 marketing in October 2023, many third-party systems entered end-of-support phases, with vendors like Raptor and Wistron providing limited extensions or migrations to POWER10 equivalents by 2026.

Supercomputing Deployments

POWER9 processors played a pivotal role in advancing through their into large-scale deployments, particularly those sponsored by .S. of (). The most prominent example is , developed by for the () and operational since 2018. comprises 4,608 compute nodes, each equipped with two 22-core POWER9 CPUs clocked at 3.07 GHz and six V100 GPUs, delivering a theoretical peak performance of 200 petaFLOPS. This configuration enabled to claim the title of the world's fastest on the list from June 2018 until June 2020, when it ranked second, and it maintained top-five positions through 2020. The system's interconnect facilitated high-bandwidth communication between POWER9 CPUs and GPUs, supporting diverse scientific workloads in areas such as climate modeling and . was retired in November 2024. A companion system, , deployed at (LLNL) in 2018 under the DOE's program, shares a similar architecture tailored for simulation-intensive applications like nuclear . Sierra features 4,320 nodes, with each node including two 22-core POWER9 CPUs at 3.1 GHz and four V100 GPUs, achieving a peak performance of approximately 125 petaFLOPS. Like Summit, Sierra leveraged POWER9's capabilities for accelerated computing, ranking second on the list from November 2018 to June 2020 and contributing to breakthroughs in and research. These DOE systems exemplified POWER9's scalability in exascale precursor environments, paving the way for subsequent generations of HPC infrastructure. Sierra was retired in November 2025. Beyond and , POWER9 powered several other notable supercomputing clusters that bolstered its presence in rankings during 2018-2020, often occupying positions 2 through 5. For instance, systems like those at Japan's AIST and Italy's CINECA Marconi-100 utilized POWER9 with GPUs for and scientific simulations, reinforcing the processor's impact on global HPC landscapes. By 2023, however, the HPC field saw a shift toward newer architectures, including POWER10-based systems and HPE platforms like , which superseded POWER9 deployments in performance leadership while highlighting the former's foundational role in achieving petaflop-scale . Summit's node-level , with 44 cores per node from dual POWER9 chips, underscored the processor's density in enabling these transitions.

Software Ecosystem

Operating System Support

IBM AIX provides full support for POWER9 processors, with specific optimizations introduced in version 7.2 Technology Level 2 (released in 2017) and subsequent releases, enabling compatibility with POWER9-based servers such as the Power System S914, S922, and S924. AIX 7.1 Technology Level 5 Service Pack 2 also offers support for these systems, though later versions include enhanced POWER9 features like improved performance monitoring and security updates tailored to the architecture. IBM i, IBM's integrated operating system for business applications, supports POWER9 hardware starting with 7.2 Technology Refresh 8 and later, including Technology Refresh levels that enable deployment on Power Systems models like the S922 and E980. 7.5 represents the final release with native POWER9 support, integrated for enterprise workloads on these platforms. Several Linux distributions offer certified support for POWER9 via the ppc64le architecture, leveraging kernel-level compatibility that began with Linux kernel version 4.6 and matured in subsequent releases. Red Hat Enterprise Linux versions 7.4 and 8.x provide full support, including installation images and updates optimized for POWER9 servers such as the AC922 and E980. Ubuntu 18.04 LTS and later versions are certified for POWER9, with long-term support extending to hardware like the Power System AC922. SUSE Linux Enterprise Server 12 SP4 and 15 also support POWER9, with features like radix page tables and performance monitoring units enabled for these processors. POWER9 hardware receives ongoing operating system support through at least January 31, 2026, after which standard service ends for most models, though third-party distributions may continue updates independently; post-POWER10 releases focus reduced enhancements on newer s.

Compatibility and Optimization

The POWER9 processor implements the version 3.0, a 64-bit that includes the Scalar Extension (VSX) for enhanced floating-point and operations, as well as the Multimedia Extension (VMX) for SIMD processing, enabling advanced computational capabilities in scientific and workloads. This ISA version maintains with prior generations, allowing software compiled for systems—based on Power ISA 2.07—to execute on POWER9 hardware through dedicated processor compatibility modes such as POWER8 mode, which emulates the feature set of the earlier processor to ensure seamless operation without recompilation. Software optimizations for POWER9 leverage its (SMT) capability, which supports up to eight threads per core, through flags in tools like the XL compilers; for instance, the -qtune=power9 option directs the optimizer to exploit SMT modes for improved throughput in multithreaded applications, while suboptions like -qsmt=auto balance thread distribution across cores. In AI and contexts, frameworks such as and have been tuned via IBM's PowerAI toolkit to utilize 2.0 interconnects, providing high-bandwidth GPU acceleration—up to 25 GB/s in each direction (50 GB/s bidirectional)—resulting in significant performance gains for training compared to PCIe-based systems. Development tools for POWER9 include the IBM Advance Toolchain, an open-source suite of compilers (e.g., GCC variants), runtime libraries, and profilers optimized for Power ISA 3.0 features, facilitating efficient code generation and debugging on Linux environments. The OpenPOWER SDK complements this with simulators and utilities, such as the POWER9 Functional Simulator, for pre-silicon validation and porting. POWER9's support for little-endian mode in Linux distributions aligns it closely with x86 conventions, easing binary portability for many applications since POWER8. Porting software from x86 architectures to POWER9 presents challenges, including recompilation to handle differences in instruction sets, vector intrinsics, and alignment requirements, though little-endian support mitigates endianness issues; developers often use tools like the Advance Toolchain to identify and resolve architecture-specific dependencies, such as 128-bit VSX registers versus x86's AVX. Migration paths to POWER10 involve leveraging its POWER9 compatibility mode, which allows existing POWER9 binaries and applications to run without modification, supported by features like Live Partition Mobility for seamless transitions between systems.

References

  1. [1]
    IBM Launches Power9 Servers, Initial Offering Takes Aim ... - TOP500
    Dec 5, 2017 · Dec. 5, 2017 ... IBM today unveiled its first Power9-based server, the AC922, which the company is promoting as a platform for AI workload ...
  2. [2]
    [PDF] IBM Power System E980: Technical Overview and Introduction
    Sep 21, 2018 · This edition applies to the IBM Power System E980 (9080-M9S) server. Note: Before using this information and the product it supports, read the ...
  3. [3]
    Power servers | IBM
    IBM Power servers accelerate big data insights and hybrid cloud deployment with an open server ecosystem.
  4. [4]
    IBM Advances Against x86 with Power9 - HPCwire
    Aug 30, 2016 · Although system availability hasn't been announced yet, IBM has already landed a major win for its forthcoming Power9 platform. Back in November ...
  5. [5]
    [PDF] POWER9 Processor for the Cognitive Era - | HPC @ LLNL
    • Nvidia NVLink 2.0: High bandwidth, advanced new features. • CAPI 2.0: Coherent accelerator and storage attach (PCIe G4). • New CAPI: Improved latency and.<|separator|>
  6. [6]
    Big Blue Aims For The Sky With Power9 - The Next Platform
    Aug 24, 2016 · The Power9 chip, unveiled at the Hot Chips conference this week, is the best chance the company has had to make some share gains against X86 processors.
  7. [7]
    Why IBM Is Suing GlobalFoundries Over Chip Roadmap Failures
    Jun 10, 2021 · The delay with the 14 nanometer processes and the stoppage of 10 nanometer processes is evident in the IBM Power roadmaps. Take a look at this ...
  8. [8]
    IBM i Platform Support Details
    The IBM Power Systems Hardware Management Console now includes functions that may be of interest to IBM i clients, including support for POWER9 systems.
  9. [9]
    IBM Begins Power9 Rollout with Backing from DOE, Google - HPCwire
    Dec 6, 2017 · According to IBM, a four GPU air-cooled version will be available December 22 and both four- and six-GPU water-cooled options are expected to ...
  10. [10]
    [PDF] Scaling the Summit: Deploying the World's Fastest Supercomputer?
    The Summit supercomputer consists of 4,608 AC922 compute nodes each with two 22-core POWER9 (P9) processors and six NVIDIA Tesla V100 (Volta) GPUs. NVLink 2.0 ...
  11. [11]
    Power 9 End of Sales - Meridian IT
    Sep 14, 2023 · IBM have recently announced the end of sale dates for selected Power 9 components and servers, this ranges from August 2023 to January 2024.Missing: production | Show results with:production<|control11|><|separator|>
  12. [12]
    [PDF] POWER9 Processor User's Manual OpenPOWER - RCS Wiki
    Apr 9, 2018 · The IBM home page can be found at ibm.com®. Version 2.0. 9 April 2018. Page 3. User's Manual. OpenPOWER. POWER9 ...
  13. [13]
    [PDF] IBM POWER9 SMT Deep Dive - Summit Training Workshop
    The “SMT Mode” setting limits the maximum number of threads dispatchable to each core. The default “SMT Mode” is SMT4. ST x 2 cores. 22 threads per socket. ST x ...<|control11|><|separator|>
  14. [14]
    IBM POWER9 processor core for IBM J. Res. Dev - IBM Research
    Jul 1, 2018 · POWER9 employs a new modular core microarchitecture to counter the technology trend of decreasing frequency and increasing power density from ...Missing: details | Show results with:details
  15. [15]
    [PDF] IBM Power Systems S922, S914, and S924 - IBM Redbooks
    IBM POWER9 processor-based servers can support two different form factors of PCIe ... versions of these browsers might work, but are not officially supported.
  16. [16]
    [PDF] IBM Power Systems H922 and H924 Technical Overview and ...
    Jan 8, 2021 · With PORE, you can potentially make concurrent firmware changes in POWER9, which in earlier designs required a restart to take effect.
  17. [17]
    [PDF] The IBM POWER9 Scale Up Processor - Hot Chips
    Aug 20, 2018 · L2. L3 Region. Page 4. © 2018 IBM Corporation. Scale Out. Direct Attach Memory. 8 Direct DDR4 Ports. 8 Buffered Channels. POWER9 – Dual Memory ...<|separator|>
  18. [18]
    An Initial Look At The IBM POWER9 4-Core / 16-Thread CPU ...
    Jul 1, 2019 · The 4-core POWER9 CPU has a 3.2GHz base frequency with 3.8GHz turbo, 90 Watt TDP, 32KB L1 cache, 512KB L2 cache/core, and 10MB L3 cache/core.
  19. [19]
    Raptor Computing Systems::CP9M02 Intro
    IBM POWER9 CPU (8-core) · 3.45GHz base / 3.8GHz turbo (WoF) · 160W TDP · All Core Turbo capable · 32KB L1 data cache + 32KB L1 instruction cache / core · 512KB ...Missing: specifications | Show results with:specifications
  20. [20]
    [PDF] IBM Power System AC922: Technical Overview and Introduction
    The Power AC922 server is the next generation of the IBM POWER® processor-based systems, which are designed for deep learning (DL) and artificial intelligence ( ...
  21. [21]
    [PDF] IBM Power System E950: Technical Overview and Introduction
    Aug 17, 2018 · 2.1.1 POWER9 processor overview. ... POWER9 core architecture, see. H. Le, et al., “IBM POWER9 processor ...
  22. [22]
    POWER9 - Microarchitectures - IBM - WikiChip
    Apr 18, 2025 · IBM introduced the POWER9 scale out variant of POWER in December 2017. Scale up POWER9 processors were introduced in August 2018. The third ...Code names · Architecture · Overview
  23. [23]
    [PDF] POWER9 Processor User's Manual OpenPOWER - Just another blog
    Apr 9, 2018 · The IBM home page can be found at ibm.com®. Version 2.0. 9 April 2018. Page 3. User's Manual. OpenPOWER. POWER9 ...
  24. [24]
    [PDF] IBM Power System AC922: Technical Overview and Introduction
    The NVLink 2.0 implementation on POWER9 goes beyond the traditional implementation by implementing 1.5x more memory bandwidth and aggregating NVLink Bricks to ...
  25. [25]
    The Deal On Power9 Memory For Entry Servers - IT Jungle
    Mar 5, 2018 · At first, using 64 GB CDIMM memory sticks, capacity was limited to 512 TB per socket, but eventually IBM shifted to 128 GB memory sticks and the ...
  26. [26]
    [PDF] IBM Power System AC922 Introduction and Technical Overview
    The Power AC922 server is the next generation of the IBM Power processor-based systems, which are designed for deep learning and artificial intelligence (AI), ...
  27. [27]
    [PDF] IBM Power Systems S922, S914, and S924 Featuring PCIe Gen 4 ...
    This edition applies to the IBM Power System S914 (9009-41G), IBM Power System S922 (9009-22G), and. IBM Power System S924 (9009-42G) servers that use the ...
  28. [28]
    power-hybrid-cloud - IBM
    Created a heterogeneous cloud platform to integrate Power Systems alongside x86 or IBM Z® infrastructure; Integrate Power Systems with VMware cloud technologies.
  29. [29]
  30. [30]
    IBM Power Systems Enhances Hybrid Cloud Capabilities with Red Hat
    Feb 23, 2021 · ... Z and IBM Power Systems also announced new hybrid cloud container offerings for IBM Z across Red Hat OpenShift and IBM Cloud Paks.
  31. [31]
    Power9, Power10 and Power11 System FW Release Planned ... - IBM
    Jul 26, 2025 · Power9, Power10 and Power11 System FW Release Planned Schedule (2024-2025) - updated July 2025.Missing: 2020-2021 | Show results with:2020-2021
  32. [32]
    Raptor Computing Systems::Talos™ II Secure Workstation
    Talos™ II is the world's first EATX-compatible, workstation-class mainboard for the new, free-software friendly IBM POWER9 processor and architecture.
  33. [33]
    Talos II - RCS Wiki - Raptor Computing Systems
    Feb 21, 2025 · Talos II is Raptor Computing Systems' next-generation POWER9 platform. Focusing on security and performance, it is a dual socket PowerNV system.
  34. [34]
    Raptor Computing Systems::Products
    Talos™ II PowerAI™ Development System TL2PA1 Talos™ II PowerAI™ Development System. Order online for $7,459.99. Current Status: Full Production. Blackbird ...
  35. [35]
    Raptor Talos II POWER9 Benchmarks Against AMD Threadripper ...
    Nov 8, 2018 · This open-source, secure system arrived for Linux testing with dual 22-core POWER9 CPUs to yield 176 total threads of power. As mentioned a few ...
  36. [36]
    Introducing Zaius, Google and Rackspace's open server running ...
    Today, we're excited to share the first spec draft of our new server, Zaius P9 Server, which combines the benefits of IBM POWER9 and OpenCAPI ...Missing: Tulex OpenStack
  37. [37]
    Google, Rackspace Release Specs for IBM Power9-Based Server
    Oct 17, 2016 · Google and Rackspace announced draft specs for the Zaius P9 data center server. The design implements Open Compute Project server standards.
  38. [38]
    Google Confirms POWER9 Processor Data Center Deployment At ...
    Mar 19, 2018 · The biggest announcement was that Google confirmed that they have deployed POWER into “Google's Data Center”, and that's a very big deal for OpenPOWER.
  39. [39]
    Inside The Future Google Rackspace Power9 System
    Apr 6, 2016 · First, it has two NVLink ports exposed in the system. (The Power8 chips support six ports as far as we know, but the Power9 may or may not.) The ...<|control11|><|separator|>
  40. [40]
    OpenPOWER Ecosystem Gains Steam; New Customers and More ...
    Mar 20, 2018 · Nvidia and Intel announced a joint collaboration today that will see Nvidia investing $5 billion in Intel and Intel adopting Nvidia's NVLink ...
  41. [41]
    Talk:OpenPOWER - RCS Wiki
    Jan 21, 2018 · Penguin Computing made the Magna 1015, a Barreleye G1 system, but I can't figure out what platform the other Magna systems like the 2001 ...
  42. [42]
    News Details - Penguin Solutions - Investor Relations
    Apr 2, 2019 · Relion servers feature liquid and air cooling options and are available in both traditional Electronic Industries Alliance (EIA) 19 inch as ...Missing: POWER9 | Show results with:POWER9
  43. [43]
    Wistron P93D2-2P MiHawk - OpenPOWER Foundation
    The Wistron P93D2-2P (MiHawk) is a 2U dual-socket Power9 LaGrange Server made by Wistron Corporation. With 2 LaGrange POWER9 processors inside, ...
  44. [44]
    Wistron Demonstrates PCIe Gen4 on Power9
    Jun 20, 2018 · To demonstrate the impact of PCIe Gen4 on system performance, we compared it to PCIe Gen3 performance on both POWER9 and x86 systems. Mellanox ...Missing: IT02 edge computing
  45. [45]
    IBM Power Systems EOS/EOL Timeline (Power7,8,9,10)
    Jul 31, 2025 · IBM Power9 systems were withdrawn from marketing on October 20, 2023, meaning they can no longer be purchased new. However, they haven't reached ...
  46. [46]
    IBM Power 9 Models Approaching EOSL in January 2026
    IBM has an upcoming End-of-Support (EOSL) date for a few models in January of 2026. After this date, IBM will no longer offer support and maintenance for ...
  47. [47]
    ORNL's Summit Supercomputer Named World's Fastest
    Jun 25, 2018 · Summit consists of 4,608 compute servers, each containing two 22-core IBM Power9 processors and six NVIDIA Tesla V100 graphics processing unit ...
  48. [48]
    Summit - IBM Power System AC922, IBM POWER9 22C 3.07GHz ...
    Summit - IBM Power System AC922, IBM POWER9 22C 3.07GHz, NVIDIA Volta GV100, Dual-rail Mellanox EDR Infiniband ; 16,473,600 · 2,925.75 TFlop/s · 10,096.00 kW.
  49. [49]
    Summit Supercomputer Ranked Fastest Computer in the World
    Jun 25, 2018 · At its theoretical peak, Summit is capable of 200 petaflops, or 200,000 trillion calculations per second—about eight times more performance than ...
  50. [50]
    Sierra—Decommissioned | HPC @ LLNL
    There are 4320 compute nodes with 40 POWER9 cores, 4 NVIDIA Volta V100 GPUs and 256 GB of memory on each node. Jobs are scheduled per node. Sierra has two main ...
  51. [51]
    Sierra - IBM Power System AC922, IBM POWER9 22C 3.1GHz ...
    Sierra - IBM Power System AC922, IBM POWER9 22C 3.1GHz, NVIDIA Volta GV100, Dual-rail Mellanox EDR Infiniband ; 11,902,464 · 1,795.67 TFlop/s · 7,438.28 kW.
  52. [52]
    CORAL/Sierra | Advanced Simulation and Computing
    The Sierra system includes compute nodes (POWER9 Architecture Processor, NVIDIA Volta GPUs, NVMe-comaptible PCIe 800 GB SSD, greater than 512 GB DDR4 + HBM, and ...
  53. [53]
    June 2020 | TOP500
    Number two on the list is Summit, an IBM-built supercomputer that delivers 148.8 petaflops on HPL. The system has 4,356 nodes, each equipped with two 22-core ...
  54. [54]
    November 2020 - TOP500
    The 56th edition of the TOP500 saw the Japanese Fugaku supercomputer solidify its number one status in a list that reflects a flattening performance growth ...
  55. [55]
    IBM AIX 7.2 with Technology Level 2 Release Notes
    The AIX® 7 with 7200-02 and Service Pack 2, or later, operating system support the following POWER9 processor-based servers: IBM® Power® System S914 ...
  56. [56]
    AIX 7.1 with Technology Level 5 Release Notes - IBM
    The AIX® 7 with 7100-05 and Service Pack 2, or later, operating system support the following POWER9™ processor-based servers:.
  57. [57]
    Upgrade planning - Future hardware - IBM
    Apr 8, 2025 · IBM i 7.5 is the last release to support IBM Power9 processor-based systems. IBM i support for Power8, November 2021. IBM i 7.4 is the last ...Missing: AIX | Show results with:AIX<|separator|>
  58. [58]
    Linux distributions and virtualization options for POWER8 and ... - IBM
    Use this topic to find the Linux® distributions and virtualization options that are optimized for POWER8 servers and POWER9 servers running Linux.
  59. [59]
    What are the supported limits for CPU and RAM on IBM Power ...
    Jun 14, 2024 · ... ppc64le-based systems were 768 logical CPUs and 32TB of memory, as stated here: Red Hat Enterprise Linux technology capabilities and limits.
  60. [60]
    IBM Power System AC922 (8335-GTH) - Ubuntu Certified
    Power System AC922 (8335-GTH) ›. Certified Ubuntu Release. 18.04 LTS. Processor. POWER9, altivec supported. Graphics. ASPEED Graphics Family (1a03:2000) ...
  61. [61]
    Release Notes | SUSE Linux Enterprise Server 12 SP4
    Dec 9, 2021 · 6.5 Support for POWER9 24x7 Counters Has Been Added Report Documentation Bug # SLES 12 SP4 adds support for the new version of the hypervisor ...
  62. [62]
    Release Notes | SUSE Linux Enterprise Server 15 GA
    Sep 30, 2022 · With SLES 15, radix page tables are supported on POWER9. 6.5 GLIBC Support for POWER9 Report Documentation Bug #. GLIBC provides full support ...
  63. [63]
    A Year From Now, Most Power9 Systems Bite The Rust - IT Jungle
    Jan 27, 2025 · IBM said in that September 12 announcement that standard service on selected models of the Power9 systems will be withdrawn on January 31, 2026.Missing: 2020-2021 POWER10
  64. [64]
    [PDF] IBM High-Performance Computing Insights with IBM Power System ...
    The Power Architecture implements SIMD through the VMX and VSX ... specified in Power Instruction Set Architecture (Power ISA) V3.0 for POWER9 processors.<|control11|><|separator|>
  65. [65]
    Processor Compatibility modes on IBM POWER9 based systems
    Jul 2, 2020 · The POWER9™ based IBM Power Systems™' range offer a number of different Processor Compatibility modes. This document explains them.Missing: ISA | Show results with:ISA
  66. [66]
    Processor compatibility mode definitions - power8 - IBM
    Jan 7, 2025 · The POWER9 processor compatibility mode allows you to run operating-system versions that use the features of the POWER9 processor enabled by the ...Missing: ISA backward
  67. [67]
    -mtune (-qtune) - IBM
    Optimizations are tuned to utilize the POWER9 technology. Parameters for SMT suboptions. The following simultaneous multithreading (SMT) suboptions allow you to ...
  68. [68]
    [PDF] Code optimization with the IBM XL compilers on Power architectures
    POWER9 ... The -qtune simultaneous multithreading (SMT) suboptions allow specification of a target SMT mode to direct optimization for best performance in that ...
  69. [69]
    [PDF] Deep Learning Unleashed on IBM Power Systems Servers
    At a high level, PyTorch and TensorFlow have been growing and becoming fit-all frameworks. ... PowerAI solution is optimized for performance by using the NVLink- ...
  70. [70]
    [PDF] Cognitive Computing Featuring the IBM Power System AC922
    The IBM POWER9 processor has the high-speed, next generation NVIDIA NVLink 2.0 direct interface embedded in the processor chip, which enables direct ...
  71. [71]
    advance-toolchain-linux-on-power - IBM
    Open-source compilers, runtime libraries, and development tools that allow users to take advantage of the latest IBM POWER hardware features on Linux.
  72. [72]
    IBM POWER9 Functional Simulator - OpenPOWER Foundation
    Sep 22, 2022 · The IBM POWER9 Functional Simulator is a simulation environment developed by IBM. It is designed to provide enough POWER9 processor complex ...
  73. [73]
    Little endian and Linux on IBM Power Systems
    Jan 4, 2019 · Which Linux distributions support little endian on Power. All three Linux on Power partners – Canonical, Red Hat, and SUSE – offer little endian ...
  74. [74]
    Porting to Linux on Power: 5 tips that could turn a good port into a ...
    Nov 10, 2021 · Porting from Linux on x86 to Linux on Power is usually easy, but developers should be aware of differences that may manifest as compilation, ...
  75. [75]
    Migration combinations of processor compatibility modes for active ...
    Jan 7, 2025 · You cannot migrate the logical partition because the destination server does not support the configured mode (POWER9). Power10, or POWER9 with ...