Fact-checked by Grok 2 weeks ago

POWER8

The POWER8 is a family of high-performance, 64-bit superscalar microprocessors developed by based on the (ISA), manufactured using a 22 nm silicon-on-insulator (SOI) process technology with a die size of 649 mm² containing 4.2 billion transistors. Announced in April 2014 as the eighth generation of the POWER processor family, it powers servers optimized for enterprise computing, , , and workloads. Each POWER8 single-chip module integrates up to 12 processor cores, with each core supporting simultaneous multithreading (SMT) for up to eight hardware threads to maximize throughput on parallel tasks. Clock speeds vary by configuration and variant, ranging from approximately 2.1 GHz to 4.35 GHz, with dynamic frequency scaling from 50% to 111.3% of nominal for power optimization. The cache hierarchy includes 32 KB of L1 instruction cache and 64 KB of L1 data cache per core (both 8-way set-associative), a private 512 KB L2 cache per core, up to 96 MB of shared on-chip L3 eDRAM cache (with 8 MB local to each core), and up to 128 MB of L4 eDRAM cache in the memory buffer chips. Memory support encompasses DDR3 and DDR4 ECC DIMMs at up to 1600 MHz, with system capacities up to 32 TB in larger multi-socket configurations such as the Power E880. Key innovations in POWER8 include the Coherent Accelerator Processor Interface (CAPI) for integrating custom accelerators with cache-coherent access to , transactional for simplified programming, and enhanced vector-scalar floating-point units (VSX2) for improved in scientific and applications. Input/output capabilities feature up to 48 lanes of PCIe Gen3 per , delivering up to 96 GB/s duplex bandwidth, along with support for SR-IOV and hot-plug expansion. (RAS) are bolstered by features such as instruction retry, self-healing cores, single-error correction/double-error detection (SEC/DED) in caches, and soft-error mitigation through integrated stacked latches. Power and thermal management leverage the On-Chip Controller (OCC) and EnergyScale technology, enabling modes that prioritize , power savings, or thermal constraints while supporting fine-grained micropartitioning for down to 0.05 processing units. As the inaugural processor for the OpenPOWER Foundation, facilitated broader industry collaboration on the POWER ecosystem, influencing subsequent designs and enabling diverse applications from to workloads.

Overview

Development and Release

The development of began around 2010 as part of a multi-year effort by to create a optimized for emerging workloads in , , and , surpassing the capabilities of its predecessor, POWER7. This initiative involved a $2.4 billion investment and focused on enhancing and to address the growing demands of data-intensive applications. IBM announced POWER8 in August 2013 at the Hot Chips conference, introducing it as a key component of version 2.07, which incorporated advanced features like expanded vector-scalar extensions to support tasks. The processor was designed with a 12-core emphasizing and energy efficiency for server-class systems. Commercial systems based on POWER8 entered the market in June 2014, with initial production utilizing IBM's 22 nm silicon-on-insulator (SOI) process technology, which enabled high transistor density and . Early shipments went to select clients, including , which integrated the processors into custom server designs to leverage their capabilities for cloud-scale operations. A significant occurred in late 2014 with the release of the Power System S824L, the first server tailored for the OpenPOWER Foundation, allowing broader ecosystem adoption and customization by partners for analytics and environments. This integration marked POWER8's expansion beyond traditional systems into an open collaboration model.

Architectural Goals

The POWER8 architecture was designed to deliver substantial performance improvements over its predecessor, POWER7, targeting 2-3 times the overall throughput through innovations like 8-way simultaneous multithreading (SMT8) per core, which enables up to 192 threads across 24 cores for enhanced parallelism in multithreaded workloads. This multithreading approach, combined with core-level enhancements such as doubled L1 data cache size and advanced out-of-order execution, aims to boost single-thread performance by approximately 1.5 times and single-core throughput by up to 2 times in commercial applications, while maintaining power efficiency comparable to POWER7 despite a 50% increase in core count. Energy efficiency was a core objective, achieved via 22 nm silicon-on-insulator (SOI) technology and embedded dynamic random-access memory (eDRAM) for caches, allowing the architecture to support more cores and threads within the same power envelope as prior generations. Additionally, the open ecosystem fostered by the OpenPOWER Foundation enabled collaborative development with partners like NVIDIA and Mellanox, promoting workload-optimized solutions beyond traditional proprietary designs. Scalability for and (HPC) workloads was emphasized, with modular designs supporting configurations from single nodes to hundreds of racks and up to 1024 GB of per to handle applications like MPI and OpenSHMEM. To accelerate , POWER8 introduced the Coherent Accelerator Processor Interface (CAPI), providing low-latency, cache-coherent access to external accelerators like FPGAs over PCIe, thereby streamlining data movement for analytics and simulation tasks. Complementing this, support for offered up to 160 GB/s bidirectional bandwidth for direct CPU-GPU and GPU-GPU communication, particularly with P100 GPUs, to offload compute-intensive operations in environments. The design philosophy balanced high-frequency operation—targeting up to 5 GHz in select variants—with sophisticated to optimize performance under varying loads. Central to this was the On-Chip Controller (OCC), an integrated system that dynamically adjusts voltage, frequency, and thread allocation in , ensuring energy proportionality without sacrificing responsiveness in enterprise settings. Initial target markets included enterprise servers for and database processing, supercomputers for scientific simulations (such as prototypes leading to systems like ), and specialized appliances for analytics, positioning POWER8 as a versatile platform for demanding computational needs.

Design Features

Core and Threading

The POWER8 features a modular available in configurations of either 6 or 12 cores per single-chip module, enabling flexibility for different such as scale-out versus scale-up environments. Each core implements 8-way (SMT8), allowing up to 8 threads to execute concurrently within a single core, which maximizes resource utilization in multithreaded workloads and can support a total of up to 96 threads across a 12-core chip. This SMT capability dynamically adjusts thread priority and , with modes tunable from SMT1 (single-threaded) to SMT8 via software controls, ensuring efficient handling of varying thread counts without hardware reconfiguration. At the heart of each core is a superscalar, out-of-order execution engine with an 8-wide dispatch and 10-wide issue mechanism, capable of dispatching up to 8 instructions and issuing up to 10 instructions per cycle to dedicated execution units. The execution units include 2 load/store units and 2 additional load units for memory operations, 2 fixed-point units for integer arithmetic, 2 vector-scalar floating-point units (VSU) for both scalar floating-point computations and SIMD vector instructions via the VSX (Vector Scalar Extension) architecture, enabling high-throughput processing for both general-purpose and vectorized workloads. These units feed into deep pipelines, with a total depth of 16 stages for integer operations and 23 stages for floating-point operations from instruction fetch to writeback, which balance instruction latency with clock frequency to achieve peak performance while managing power consumption through out-of-order execution and speculative processing. POWER8 incorporates advanced branch prediction to minimize control hazards, featuring a hybrid branch predictor using local, global, and selector tables for higher accuracy compared to traditional two-level predictors, thereby reducing misprediction penalties and improving overall instruction throughput. Complementing this, the core includes sophisticated prefetching mechanisms for both instructions and data, with hardware engines that detect sequential access patterns and proactively fetch up to 12 streams into the cache hierarchy, shared dynamically across SMT threads to anticipate memory demands and hide latency in bandwidth-intensive applications. For , each POWER8 supports fine-grained , including per- power to isolate inactive units during low-utilization periods and dynamic voltage and (DVFS) that adjusts operating points based on activity, allowing individual cores to enter low-power states like or while maintaining system responsiveness. These features, combined with on execution pipelines, enable the processor to optimize power delivery at the core level, reducing overall chip use in varied scenarios without compromising peak performance.

Cache Hierarchy

The POWER8 features a multi-level designed to minimize and maximize for its () cores. Each core includes private L1 caches comprising a KB and a 64 KB , both 8-way set-associative with 128-byte line sizes. The supports dual fetches per cycle, while the is store-through to the L2 level, with five ports (four for reads and one for writes) to handle high-throughput loads and stores in a banked that avoids conflicts. These L1 caches use technology and maintain inclusivity with the L2 to simplify management. Complementing the L1 caches, each has a 512 KB unified , also 8-way set-associative, implemented in for low-latency access at approximately 12 cycles. This serves as the point of coherency for the , supporting store-in policy and handling interventions between or cores. It integrates tightly with the L1 caches, enabling efficient victim caching and prefetching to reduce miss rates in multithreaded workloads. The nature of the per allows for optimizations, ensuring that data accessed by specific remains localized. The shared on-chip L3 cache totals 96 MB across the 12-core chip, organized as 12 slices of 8 MB each in a non-uniform architecture (NUCA) using for high density and . Each 8 MB slice is 8-way set-associative and associated with a or chiplet group, clocked at half the to balance and performance. The implementation provides over twice the capacity of prior generations while maintaining low latency of about 27 cycles for local accesses, with features like critical-sector-first reloading and advanced replacement policies to prioritize hot toward active . This shared L3 acts as a victim for L2 evictions and supports prefetching to anticipate data needs in compute-intensive applications. An optional off-chip L4 of 16 MB per memory chip extends the , implemented in for density and connected via high-speed links to the processor. This L4 , up to 128 MB total in multi-buffer configurations, serves as a system-level to reduce main accesses, particularly in multi-socket setups, by frequently shared with latencies around 100-200 cycles. The use of in both L3 and L4 enables a larger aggregate footprint without excessive power draw, optimizing for workloads. Cache coherence in POWER8 employs a directory-based protocol at the L3 level, extending a modified MESI (Modified, Exclusive, Shared, Invalid) state machine to handle multi-core and multi-socket . This protocol tracks block locations in a within the L3 slices, minimizing unnecessary snoops while ensuring consistency across the hierarchy. To enhance efficiency in large systems, it incorporates , where potential suppliers are probed in parallel before directory resolution, reducing for remote data requests without flooding the interconnect. The inclusive L1-L2 policy and transient state support in L3 further aid by allowing and quick invalidations.

Interconnects

The POWER8 processor employs a full on-chip interconnect fabric to facilitate high-, low-latency communication between its 12 , L2 , and the shared 96 MB L3 cache. This connects each core to its private 512 KB L2 cache and a dedicated 8 MB slice of the L3 victim cache via private interfaces, including eight 16-byte data buses and two snoop buses, operating asynchronously for reduced contention and improved . The fabric supports a non-uniform cache (NUCA), enabling scalable bandwidth of 150 /s per across 16 segments, with aggregate L3 connectivity reaching 3 TB/s and L2 at 4 TB/s across all cores. For multi-chip configurations, POWER8 utilizes the Elastic Interface (EI) as its primary chip-to-chip interconnect, providing scalable symmetric multiprocessing (SMP) support. Each EI link delivers 25.6 GB/s of bandwidth in each direction, with up to three links per chip enabling connectivity for systems scaling to eight sockets, such as in the Power E870. This interface incorporates features like dynamic lane sparing for reliability, allowing substitution of failing data lanes without system interruption. The Coherent Accelerator Processor Interface (CAPI) extends the on-chip fabric to external accelerators, integrating them coherently into the processor's memory domain via PCIe Gen3. CAPI attaches devices like FPGAs or GPUs through the processor service layer (PSL), encapsulating the coherence protocol over PCIe for hardware-managed cache coherence and shared virtual addressing, which eliminates software overhead for data movement. This enables accelerators to participate directly in the SMP fabric, supporting up to 8 GT/s per lane for low-latency access to the L3 cache. Complementing these interconnects, the On-Chip Controller (OCC) provides dedicated hardware for real-time power and thermal management, ensuring stable operation across the fabric. Implemented as an embedded PowerPC 405 core with 512 KB SRAM, the OCC monitors on-die sensors for temperature, voltage, current, and power usage, dynamically throttling core frequencies and voltages—up to 100 times faster than prior generations—to maintain thermal limits and optimize energy efficiency without external intervention.

Technical Specifications

Processor Characteristics

The , in its standard 12-core configuration, integrates 4.2 billion transistors on a die measuring 649 mm². This design is fabricated using IBM's 22 nm silicon-on-insulator (SOI) complementary metal-oxide-semiconductor () process technology, which incorporates embedded () elements for enhanced performance and efficiency. The process utilizes 15 metal layers to support high-density interconnects and power delivery, enabling the chip's advanced server-class capabilities. Clock frequencies for POWER8 vary across models to balance performance and power consumption, typically ranging from 2.92 GHz to 4.35 GHz depending on the specific implementation and workload. (TDP) ratings for the processor module start at 130 for entry-level configurations and reach up to 190 for higher-performance variants, with system-level power exceeding 300 in multi-socket environments. The POWER8 implements the Power (ISA) version 2.07, a 64-bit RISC architecture that includes the Vector Scalar Extension (VSX) for enhanced single-instruction multiple-data (SIMD) operations supporting 128-bit vectors. A key addition in this version is Hardware Transactional (HTM), which provides hardware support for in multithreaded applications, improving efficiency in transactional workloads without software locks. Each core supports with up to eight hardware threads to maximize utilization.

Memory and I/O Support

The POWER8 processor supports DDR3 and DDR4 memory technologies, enabling configurations of up to 2 TB of per socket through eight memory channels. Each channel operates at speeds up to 9.6 /s, contributing to a sustained aggregate of 230 /s per socket, with peak performance reaching 410 /s across 32 total DDR ports. This design prioritizes high-throughput access for compute-intensive workloads, integrating with the processor's cache hierarchy to minimize in movement. Central to the memory subsystem is the Centaur buffer chip, which provides 128 MB of eDRAM acting as an L4 cache and handles error-correcting code (ECC) with single-error correction and double-error detection, including Chipkill protection against multi-bit failures in up to two x8 DRAM modules per ECC word. The Centaur chip also manages buffering, prefetching, and write optimizations, buffering up to 230 GB/s of aggregate traffic while supporting energy-efficient scheduling to reduce power consumption during idle or low-load states. This off-chip companion enhances reliability and scalability, allowing POWER8-based systems to address large-scale data processing without on-die memory controller overload. For I/O capabilities, each POWER8 socket integrates up to 48 lanes of PCIe 3.0 (32 lanes in some enterprise configurations), operating at 8 GT/s full-duplex to deliver up to 96 GB/s of bidirectional bandwidth, supporting expansion for high-speed peripherals and storage. USB 3.0 connectivity is provided through dedicated PCIe adapters, offering up to four ports per adapter for general-purpose interfaces in server environments. Additionally, 10 GbE networking is integrated via PCIe-based adapters, such as dual- or quad-port SFP+ NICs, enabling low-latency Ethernet connectivity for enterprise-scale data transfer without dedicated on-chip controllers. These features collectively ensure robust external interfacing, with total I/O bandwidth scaling to approximately 128 GB/s in peak configurations.

Variants and Implementations

IBM Variants

IBM developed multiple variants of the POWER8 processor to address diverse requirements in , enterprise servers, and embedded systems, optimizing for factors such as core density, clock speed, power efficiency, and packaging. The variant consists of a 12-core dual-chip module () clocked at 4.35 GHz, targeted at high-end enterprise servers like the Power E880 for workloads demanding maximum throughput, including large-scale and database processing. This configuration supports up to 192 cores across multi-node systems, with enhanced cache hierarchies and integrated accelerators to handle complex, mission-critical applications. In contrast, the Turismo variant features a 10-core SCM operating at 3.42 GHz, designed for scale-out environments such as the Power S822, where balanced and are key for mid-range servers supporting infrastructure, , and general-purpose computing. It enables configurations up to 24 cores in dual-socket setups, emphasizing cost-effective density for distributed workloads. The Venice variant employs an 8-core SCM at 3.02 GHz as a single-chip module suited for entry-level servers and scale-out systems, prioritizing lower (around 130-190 ) and compact over . This makes it ideal for reliable in rackmount environments. These variants primarily differ in core counts (8 to 12), operating frequencies (3.02 to 4.35 GHz), and module packaging, allowing tailored deployment in enterprise-scale versus scale-out scenarios while sharing the core POWER8 architecture for consistency across ecosystems.

Third-Party Implementations

PowerCore Technology Co., a chip design firm and OpenPOWER member, developed the CP1 as the first third-party variant of the POWER8 tailored for the . This implementation features revised security capabilities to comply with U.S. export restrictions on advanced encryption technologies, enabling production and deployment within without violating controls. The CP1 achieved general availability in and was integrated into servers such as Netcom's RedPower C210, supporting applications in secure enterprise and government environments. Tyan Computer, an early OpenPOWER licensee, produced multi-socket-capable motherboards and server platforms based on POWER8 for (HPC) workloads. Their (TN71-BP012) series, released in 2015, offered 2U rackmount configurations supporting up to 1TB of DDR3 and 10GbE ports, optimized for scalable HPC clusters with POWER8's high thread count. These boards facilitated dense in data centers, with configurations allowing up to four nodes per for tasks. Tyan's designs emphasized cost-effective scaling, bundling POWER8 processors with storage and networking for non-IBM ecosystems. Google, a founding OpenPOWER member, created a custom prototype server in 2014 incorporating processors to evaluate alternatives for its infrastructure. This dual-socket design integrated twelve-core POWER8 chips with high-bandwidth I/O, aiming to port Google's software stack for improved performance in cloud services. The prototype, demonstrated at industry events, featured compact form factors suitable for rackmount deployments and contributed reference designs to the OpenPOWER Foundation for broader adoption. Under the OpenPOWER licensing model, third-party implementations often include adaptations such as frequency adjustments for power efficiency and customized to fit specific ecosystems, positioning POWER8 as a high-performance alternative in markets dominated by ARM-based designs. For instance, licensees could tune core frequencies between 2.5 GHz and 4.0 GHz to balance performance and energy use in HPC or scenarios. These modifications enabled tailored integrations, such as optimized multi-chip modules for dense server in non-x86 environments.

Deployments and Systems

IBM Power Systems

IBM's POWER8-based Power Systems encompass a range of scale-out and scale-up servers designed for to workloads, emphasizing reliability, , and performance efficiency. The scale-out offerings, such as the Power S812 and S822 models released in June 2014, target applications including database management, analytics, and cloud infrastructure. The S812 features a single socket supporting up to 12 POWER8 cores at frequencies up to 3.42 GHz, in a 2U form factor with up to 512 of DDR3 and six PCIe Gen3 slots for I/O expansion. The S822 extends this with two sockets for up to 24 cores, up to 1 TB of , and nine PCIe Gen3 slots, enabling higher throughput for virtualized environments running AIX, , or . For scale-up requirements, the Power E870 and E880 servers, also introduced in , deliver robust capacity for demanding enterprise databases and . The E870 supports up to eight sockets with 80 POWER8 cores (using 10-core processors at up to 4.19 GHz), configurable in one or two 5U nodes with up to 16 TB of DDR3/DDR4 and extensive I/O options including up to 160 PCIe slots across expansions. The E880 builds on this with scalability to 16 sockets and 192 cores (using 12-core processors), supporting up to 32 TB of in up to four nodes, optimized for mission-critical applications requiring and rapid workload scaling. These systems incorporate advanced features like predictive and dynamic processor deallocation to minimize downtime. Complementing these servers, provides appliances and software for enhanced resilience, including PowerHA SystemMirror for high-availability clustering, which automates , resource synchronization, and recovery across POWER8 nodes to meet stringent SLAs in AIX and environments. Linux-only variants, such as the S812L (one socket, up to 12 cores) and S822L (two sockets, up to 24 cores), streamline deployments for open-source ecosystems, offering cost-effective scale-out with PowerVM supporting up to 480 logical partitions per system. Performance in these configurations has powered large-scale deployments, demonstrating POWER8's efficiency in handling intensive computational tasks. Support for POWER8-based systems ended in 2024.

OpenPOWER-Based Systems

OpenPOWER partners developed a range of systems leveraging the POWER8 processor to target (HPC) and accelerated workloads, emphasizing open hardware designs for customization and scalability. Tyan, known for its AMD Opteron-compatible servers, introduced POWER8-based platforms resembling traditional x86 rackmount systems but optimized for architecture. The Tyan TN71-BP012, a 2U rackmount , supports a single 10-core POWER8 processor at 2.93 GHz, up to 1 TB of DDR3L , and multiple PCIe slots for HPC applications such as and . Although primarily single-socket, Tyan's designs facilitated configurations for larger HPC environments, providing an for non-IBM deployments. Nallatech and Mellanox advanced FPGA-accelerated OpenPOWER systems through integration with POWER8's Coherent Accelerator Processor Interface (CAPI). Nallatech's OpenPOWER CAPI Development Kit paired Stratix V FPGAs directly with POWER8 via PCIe, enabling low-latency coherent memory sharing for real-time data processing in HPC nodes. Complementing this, Mellanox's ConnectX-4 adapter card provided CAPI-enabled /Ethernet connectivity, supporting up to 100 Gb/s throughput for cluster-scale acceleration in scientific simulations. These integrations allowed developers to offload compute-intensive tasks to FPGAs while maintaining with the host processor. Adoption of POWER8-based OpenPOWER systems extended to research institutions and cloud providers, demonstrating practical impact in diverse environments. Early cloud deployments by utilized custom POWER8 motherboards in data centers starting in 2014, testing hyperscale applications like search indexing and to evaluate Power ISA's efficiency over x86 alternatives. These efforts highlighted POWER8's role in fostering an ecosystem for innovative, partner-driven hardware beyond proprietary offerings.

Licensing and Ecosystem

OpenPOWER Foundation

The OpenPOWER Foundation originated from IBM's announcement of the OpenPOWER Consortium on August 6, 2013, as an open development alliance to foster innovation around the Power ISA architecture through royalty-free licensing of core intellectual property. Founding members included IBM, Google, Mellanox, NVIDIA, and Tyan, with the initiative aimed at enabling collaborative design of servers, accelerators, and related technologies. The consortium formally launched as the OpenPOWER Foundation in December 2013, transitioning to a nonprofit structure by early 2014, and quickly expanded to 25 members by April of that year, including Canonical, Samsung Electronics, Micron, and Xilinx. The foundation evolved significantly to support heterogeneous computing ecosystems, introducing the OpenCAPI specification in October 2016 through a dedicated consortium involving IBM, AMD, Google, and others, which standardized low-latency interfaces for accelerators like GPUs and FPGAs directly attached to the processor coherency domain. In August 2022, OpenCAPI specifications and assets were transferred to the Compute Express Link (CXL) Consortium to further standardize coherent accelerator interfaces. This shift emphasized workload-optimized systems for high-performance computing and data analytics, building on Power ISA's strengths in scalability. By 2025, membership exceeded 350 organizations and individuals, reflecting sustained growth and integration into broader open-source initiatives like the Linux Foundation since 2019. Key contributions included the open-sourcing of On-Chip Controller (OCC) firmware in December 2014, which manages power, thermal, and performance monitoring on POWER processors, enabling community-driven enhancements for custom hardware. The foundation's emphasis on heterogeneous computing has driven innovations in cache-coherent accelerator integration, prioritizing applications in AI, supercomputing, and hyperscale environments. The OpenPOWER Foundation directly facilitated POWER8's adoption beyond by providing accessible and reference designs, leading to the demonstration of the first non- POWER8-based systems at the OpenPOWER Summit in April 2014, including motherboards from and Tyan. These early prototypes paved the way for commercial offerings, such as Tyan's server shipped later that year, marking a shift toward an open ecosystem that diversified POWER8 implementations for and HPC use cases.

Key Licensees

Google was one of the founding members of the OpenPOWER Foundation and an of POWER8 technology, developing custom servers based on the to support large-scale operations. The integrated POWER8 into prototype systems ahead of commercial availability, leveraging its high core count and for and cloud workloads. Google's contributions to the OpenPOWER ecosystem emphasized optimizations for and applications, fostering collaborative development of hardware and software interfaces. NVIDIA, another founding member of the OpenPOWER Foundation, collaborated closely with IBM to integrate its NVLink high-speed interconnect directly into POWER8 processors, enabling low-latency data transfer between CPUs and GPUs. This integration, first announced in 2016, supported NVIDIA Tesla P100 GPUs and accelerated heterogeneous computing for high-performance computing and AI tasks by allowing coherent memory access across devices. The NVLink-enabled POWER8 variants marked a significant advancement in GPU-CPU coupling, reducing bottlenecks in data-intensive workloads. Mellanox Technologies, now part of and also a founding OpenPOWER member, developed networking adapters that exploited POWER8's Coherent Accelerator Processor Interface (CAPI) to achieve cache-coherent access over high-speed fabrics like and Ethernet. These adapters delivered up to 10 times improvement in throughput and latency for key-value store applications using (RDMA), enhancing scalability in clustered environments. Mellanox's work on coherent networking solutions complemented POWER8's I/O capabilities, supporting efficient data movement in and HPC deployments. Other notable participants included , which joined the OpenPOWER Foundation in 2014 and contributed to focusing on and optimizations leveraging POWER8's for mission-critical applications. By 2025, while POWER8 licensing had largely transitioned to successor architectures like and , these early adoptions were instrumental in building a diverse around the Power .

Legacy and Transition

End-of-Life Status

IBM i 7.4, released on June 21, 2019, serves as the final operating system version supporting POWER8 processor-based systems, including models such as the S814, S822, S824, E870, and E880. Standard support for IBM i 7.4 ends on September 30, 2026, after which a paid service extension offering begins on October 1, 2026, providing limited maintenance for critical fixes. For hardware, IBM's end-of-service support for POWER8 servers commenced in March 2024 and concluded by October 2024 for most models, with the S812 entry-level system receiving maintenance until July 31, 2025. Extended support options beyond IBM's end-of-service dates are available through third-party maintenance providers for critical systems, potentially lasting until 2028 depending on the contract, though IBM recommends migrating to or architectures to ensure ongoing compatibility and performance. As of 2025, POWER8 remains in deployments, such as certain supercomputers and embedded applications, but no new production has occurred since its withdrawal from marketing in January 2022. Post-end-of-service, access to security patches and firmware updates becomes limited under IBM support, increasing vulnerability risks and incentivizing upgrades to newer platforms for sustained and operational reliability.

Comparison to Successors

The processor, introduced in 2017, marked a significant from the POWER8 through its adoption of a node, compared to POWER8's 22 nm fabrication. This shrink enabled higher densities, with supporting up to 24 cores per socket versus POWER8's maximum of 12, while maintaining SMT8 threading for up to 192 threads per . improvements averaged 20-30% per in commercial workloads, with gains reaching 50-125% in optimized scenarios like graph analytics due to a shorter and enhanced branch prediction. Additionally, introduced OpenCAPI for coherent accelerator attachments, replacing POWER8's proprietary CAPI, and supported 2.0 for up to 10x higher inter-processor bandwidth. POWER10, released in 2021, advanced further on a , doubling core counts in some configurations to 15-30 per socket and delivering 60-80% higher per-core performance over POWER8 in enterprise tasks, driven by architectural refinements and up to 1636 GB/s per node—nearly 2x that of POWER9's 920 GB/s. A key addition was integrated Matrix Math Accelerators (MMA) in each core, enabling 5x faster FP32 inference and 10x in reduced precision compared to POWER9, features absent in POWER8 which lacked dedicated matrix units for workloads. These enhancements positioned POWER10 for hybrid cloud and demands, with up to 3x better energy efficiency than POWER9 through optimized power modes. The 2024 POWER11 builds on this trajectory using an enhanced 7 nm node with stacking, offering up to 25% more cores per chip than (reaching 16-20 cores per socket in scale-out designs) and 55% better core performance versus in mixed workloads. It integrates full on-chip AI acceleration via evolved MMA units, supporting up to 28% improved energy efficiency over 's maximum performance mode, while POWER8's coarser inherently limits its efficiency by 40-50% relative to these modern nodes due to higher power draw per . Systems like the Power E1180 achieve up to 45% greater capacity through denser configurations, emphasizing resilience with 99.9999% uptime targets. Architecturally, POWER8 served as the foundational baseline for the OpenPOWER ecosystem, introducing CAPI for accelerator integration, but successors shifted to OpenCAPI in for broader interoperability and evolved to OpenCAPI 3.0 in with 3.0 for enhanced multi-chip scaling. These transitions enabled more open, , with POWER8's design influencing but being superseded by the increased focus on AI-native and efficiency-driven features in later generations.

References

  1. [1]
    [PDF] IBM Power System S814 and S824 Technical Overview and ...
    The POWER8 processor includes Active Memory Expansion on the processor chip to provide dramatic improvement in performance and greater processor efficiency.
  2. [2]
    IBM Launches POWER8 Systems, OpenPOWER Roadmap - eWeek
    IBM unveiled the new POWER8 Systems at an Open Innovation Summit Big Blue held with the OpenPOWER Foundation in San Francisco on April 23. Built on IBM's POWER8 ...
  3. [3]
    IBM Unveils New POWER8 Systems, Built for Big Data
    Apr 23, 2014 · The new scale-out IBM Power Systems servers culminate a $2.4 billion investment, three-plus years of development and exploit the innovation of ...Missing: 2013 timeline
  4. [4]
    IBM Begins Shipping POWER8 Power System Servers - HPCwire
    Jun 10, 2014 · The new POWER8-based Power Systems result from a $2.4 billion, three-plus year development effort and exploit the innovation of hundreds of IBM ...
  5. [5]
    What Will Watson Do with a Power8 Brain? - HPCwire
    Aug 27, 2013 · IBM unveiled details of the forthcoming Power8 processor this week at the Hot Chips conference at Stanford University. The 12-core, 4Ghz Power8 ...
  6. [6]
    [PDF] IBM POWER8 High-Performance Computing Guide
    POWER8 processor-based systems use memory buffer chips to interface between the. POWER8 processor and DDR4 memory. Each buffer chip also includes an L4 cache to.
  7. [7]
  8. [8]
    Google acquires a taste for Power -- IBM's processors, that is - CNET
    Apr 29, 2014 · The company reported a profit of $3.45 billion for the first quarter of 2014. It's not yet clear how widely Google plans to use Power-based ...
  9. [9]
    IBM Provides Clients Superior Alternative to x86-Based Commodity ...
    Oct 3, 2014 · Built on the OpenPOWER stack4, the Power S824L systems provide clients the ability to run data-intensive tasks on the POWER8 processor while ...<|separator|>
  10. [10]
  11. [11]
    [PDF] POWER8 Processor Users Manual for the Single-Chip Module
    Mar 16, 2016 · All information contained in this document is subject to change without notice. The products described in this document.
  12. [12]
    [PDF] The IBM Power8 Processor Core Microarchitecture
    Feb 18, 2016 · The POWER8 processor allows dynamic SMT mode switches among the various ST and SMT modes. The core supports the execution of up to eight.
  13. [13]
    [PDF] POWER8 - Hot Chips
    Virtual Addressing. • Accelerator can work with same memory addresses that the processors use. • Pointers de-referenced same as the host application.Missing: 2008 | Show results with:2008
  14. [14]
    (PDF) IBM POWER8 processor core microarchitecture - ResearchGate
    Aug 9, 2025 · This paper describes the core microarchitecture innovations made in the POWER8 processor that resulted in these significant performance benefits.<|control11|><|separator|>
  15. [15]
    None
    ### Summary of On-Chip Fabric Bandwidth and Mesh Interconnect in POWER8
  16. [16]
    [PDF] IBM Power Systems E870 and E880
    Jul 25, 2017 · POWER8 processor chip, and the memory buffer chip incorporates the same features in the technology to avoid soft errors. It implements a try ...
  17. [17]
  18. [18]
    [PDF] POWER8 Processor Datasheet for the Single-Chip Module
    Apr 5, 2016 · Note: This document contains information on products in the design, sampling and/or initial production phases of development. This information ...
  19. [19]
    The Bandwidth of POWER8 - IBM
    Each POWER8 socket supports up to 1 TB of DRAM in the initial server configurations, yielding 2 TB capacity Scale-out systems and 16 TB capacity Enterprise ...Missing: DDR3 Centaur chip
  20. [20]
    The cache and memory subsystems of the IBM POWER8 processor
    In this paper, we describe the IBM POWER8™ cache, interconnect, memory, and input/output subsystems, collectively referred to as the "nest.
  21. [21]
    Refreshed IBM Power Linux Systems Add NVLink - The Next Platform
    Sep 8, 2016 · The very first systems that allow for GPUs to be hooked directly to CPUs using Nvidia's NVLink high-speed interconnect are coming to market now.Missing: goals | Show results with:goals
  22. [22]
  23. [23]
    IBM's OpenPOWER: A Lot Has Changed In Two Years - Forbes
    Sep 6, 2015 · Suzhou PowerCore announced this summer that they reached general availability for the CP1 processor. I'm looking forward to third party ...
  24. [24]
    IBM Advances Against x86 with Power9 - HPCwire
    Aug 30, 2016 · A non-IBM Power8 chip was announced ... The CP1 was made by Suzhou PowerCore and was incorporated into Zoom Netcom's RedPower C210 server.
  25. [25]
    TYAN announces the launch of the first commercialized ...
    After an intense few months of hard work, TYAN is happy to announce the first commercialized OpenPOWER system – Habanero (TN71-BP012) is ready for release.
  26. [26]
    Tyan Preps $2,753 OpenPower Reference Server - IT Jungle
    Oct 13, 2014 · The Tyan GN70-BP010 is the first OpenPower customer reference system available. That's not a bad price for a Power8 server, even one that's ...
  27. [27]
    TYAN releases a new 1U OpenPOWER POWER8 platform
    Apr 6, 2016 · The POWER8 based system is a monster supporting a single 10 core / 80 thread processor and a mountain of RAM. The platform can support 32 DIMMs for a total of ...
  28. [28]
    Google Tests Homegrown Power8 Servers - AIwire
    Feb 13, 2014 · The search engine giant is, in fact, building prototype servers based on IBM's twelve-core Power8 processors, chips that are due to ship in commercial systems.Missing: custom ASIC
  29. [29]
    Google Teams With Rackspace, Has Cloud Growth Stalled? - Forbes
    Apr 6, 2016 · Google is a founding member of the OpenPOWER Foundation and demonstrated their own POWER8 server prototype in 2014.
  30. [30]
    Google shows homegrown server with IBM Power chip
    The online giant is showing its first home-built server board based on IBM's upcoming Power8 processor at an IBM conference in Las Vegas on ...<|separator|>
  31. [31]
    [PDF] Performance Optimization and Tuning Techniques for IBM Power ...
    Aug 8, 2015 · This edition pertains to IBM Power Systems servers based on IBM Power Systems processor-based technology, including but not limited to IBM ...Missing: began | Show results with:began
  32. [32]
    [PDF] Implementing, Tuning, and Optimizing Workloads with Red Hat ...
    Support for IBM Power ISA of IBM POWER8® and. POWER9 processors ... Table 3-3 shows the power-saving mode and the static mode frequencies, and the frequency.<|control11|><|separator|>
  33. [33]
    [PDF] IBM Power System S822: Technical Overview and Introduction
    feature in the POWER8 processor core allows the core to run instructions from two, four, or eight independent software threads simultaneously. To support ...
  34. [34]
    [PDF] IBM Power Systems Facts and Features
    Feb 14, 2017 · This brochure provides detailed technical specifications of all IBM POWER8 processor-based Power Systems servers in a tabular, easy-to-scan.
  35. [35]
    POWER8 Enterprise - Power E870 - First Look - IBM
    Jun 14, 2023 · The Power Systems POWER8 Enterprise Servers E870 and E880 are a marvel of engineering - in this article we through pictures take a look at the components.Missing: sockets | Show results with:sockets
  36. [36]
    IBM PowerHA® SystemMirror
    IBM® PowerHA® SystemMirror for AIX® or IBM i automates failover and recovery, supports SLAs, ensures business continuity, and simplifies audits.Missing: POWER8 | Show results with:POWER8
  37. [37]
    [PDF] IBM Power Systems S812L and S822L Technical Overview and ...
    The memory buffer chip is made by the same 22 nm technology that is used to make the. POWER8 processor chip, and the memory buffer chip incorporates the same ...<|control11|><|separator|>
  38. [38]
    Tyan introduces 1U POWER8-based OpenPOWER server for HPC
    Apr 8, 2016 · The maximum configuration will have a single 10-core/80-thread POWER8 CPU running at 2.095GHz with 1024GB of DDR3L-1600MHz RAM, four 10GbE ports ...
  39. [39]
    TYAN Debuts New POWER8-Based 1U Sever at OpenPOWER ...
    Apr 6, 2016 · “By building the ppc64 architecture in the 1U single-socket system, the TYAN GT75-BP012 provides huge memory footprint as well as outstanding ...
  40. [40]
    Boston Limited are pleased to announce partnership with IBM
    Sep 23, 2019 · Boston Limited are pleased to announce their partnership with IBM as Boston joins the OpenPOWER Foundation, in recognition for actively ...Missing: POWER8 | Show results with:POWER8
  41. [41]
    Labs, industry form centers of excellence to speed research ...
    Jul 13, 2015 · The Centers of Excellence are leveraging current IBM Power Systems and OpenPOWER-based technologies for the required programming efforts, with ...
  42. [42]
    Altera and IBM Unveil FPGA-Accelerated POWER Systems - HPCwire
    Nov 17, 2014 · Altera and IBM have worked with board partner Nallatech to develop an OpenPOWER CAPI Development Kit for POWER8 that features Nallatech's FPGA- ...
  43. [43]
    Altera and IBM Unveil FPGA-accelerated POWER Systems with ...
    Nov 17, 2014 · Altera and IBM have worked with board partner Nallatech to develop an OpenPOWER CAPI Development Kit for POWER8 that features Nallatech's FPGA- ...
  44. [44]
    OpenPower Collective Opens For System Business
    Mar 20, 2015 · The CP1 chip that is under development by Suzhou PowerCore was also on display, and according to Brad McCredie, who is vice president Power ...
  45. [45]
    Google Shows off POWER Server Motherboard
    May 1, 2014 · Google has developed a motherboard using POWER8 server technology from IBM, and is showing it off at the IBM Impact 2014 conference in Las Vegas this week.
  46. [46]
    Google Launches Power Systems Cloud, But Not Yet for IBM i
    Jan 22, 2020 · Google was one of the earliest enrollees in IBM's Open Power initiative, and adopted Power8 motherboards way back in 2014. Then in 2018, IBM ...
  47. [47]
    IBM's OpenPower consortium with Nvidia, Google aims to advance ...
    The alliance, called the OpenPower Consortium, is a move to break into Intel's server chip dominance and provide alternatives to x86-based datacenter gear.
  48. [48]
    New OpenPOWER consortium announced - IBM
    Under the OpenPOWER initiative, IBM will license the core intellectual property for our POWER technologies to other companies for use in designing servers ...Missing: August 6 2013
  49. [49]
    OpenPOWER Foundation Unveils First Innovations and Roadmap
    Apr 23, 2014 · Formed by Google, IBM, Mellanox Technologies, NVIDIA, and Tyan, the Foundation makes POWER hardware and software available for open development, ...
  50. [50]
    OpenPOWER Foundation Unveils First Innovations and Roadmap
    Apr 23, 2014 · Twenty-five members have joined OpenPOWER including Canonical, Samsung Electronics, Micron, Hitachi, Emulex, Fusion-IO, SK Hynix, Xilinx, Julich ...Missing: launch | Show results with:launch
  51. [51]
    Tech Giants Create New OpenCAPI Standard For The Hottest ...
    Oct 14, 2016 · The OpenCAPI consortium says they plan to make the OpenCAPI specification fully available to the public at no charge before the end of the year.
  52. [52]
    Tech Leaders Launch OpenCAPI Consortium and Release New ...
    Oct 14, 2016 · Additionally, IBM will enable members of OpenPOWER Foundation to introduce OpenCAPI enabled products in the second half 2017. Google and ...
  53. [53]
    IBM's OpenPOWER and POWER8: Too Little Too Late? - Forbes
    Apr 23, 2014 · OpenPOWER hopes to create an ecosystem similar to ARM's in the mobile world with thousands of non-IBM participants involved in: fab technology ...
  54. [54]
    OpenPOWER Foundation
    ### Summary of OpenPOWER Foundation Membership (as of 2025)
  55. [55]
    2018 OpenPOWER/CAPI and OpenCAPI Heterogeneous ...
    Jul 27, 2018 · The new concept of heterogeneous computing based on collaboration between CPU and accelerators heralds a new computing era. We are pleased to ...
  56. [56]
    A Real Open Power Server, Finally - IT Jungle
    Apr 28, 2014 · Last week at the OpenPower Innovation Summit in San Francisco, IBM and its OpenPower Foundation partners showed off the first non-IBM ...Missing: impact | Show results with:impact
  57. [57]
    Tyan Ships First Non-IBM Power8 Server - AIwire
    Oct 8, 2014 · Tyan is offering the Palmetto OpenPower machine in a bundle that has one Power8 chip, four 4 GB memory sticks running at 1.6 GHz, one 500 GB 3.5-inch disk ...<|separator|>
  58. [58]
    Google Looks Ahead To OpenPower Systems - The Next Platform
    Mar 18, 2015 · That OpenPower came into the world more or less at the same time as the Power8 chip was coming to market is probably not a coincidence, or it is ...Missing: early | Show results with:early
  59. [59]
    Inside Google, Tyan Power8 Server Boards - AIwire
    EnterpriseTech told you a few months ago that Google was working on its own server motherboards based on IBM's Power8 processor and at the ...
  60. [60]
    PGI Accelerator Compilers for POWER Architecture Enable Easy On ...
    Nov 14, 2016 · Support for new POWER8 CPU-based systems with NVIDIA NVLink and NVIDIA Tesla P100 GPUs. The PGI compiler suite for OpenPOWER is among the ...
  61. [61]
    IBM Debuts Power8 Chip with NVLink and Three New Systems
    Sep 8, 2016 · The new Power8 with NVLink processor features 10 cores running up to 3.26 GHz. POWER8 processors in this server have higher memory bandwidth ...Missing: goals | Show results with:goals
  62. [62]
    More Power8 Announcements Coming Next Month - IT Jungle
    ... Power8 processors using the Coherent Accelerator Processor Interface (CAPI). It would be very interesting to see how IBM and Mellanox could tightly bind ...
  63. [63]
    [PDF] IBM Announces POWER8 with OpenPOWER Partners
    Apr 23, 2014 · The processor has 4.2 billion transistors and is 650mm2 in IBM's 22nm SOI process with 15 metal layers. In another move aimed at big data ...
  64. [64]
    AMD, ARM, Huawei, IBM, Mellanox, Qualcomm, Xilinx Form CCIX ...
    May 23, 2016 · With POWER8, IBM announced the Cache-Coherent Accelerator Processor Interconnect, or CAPI, which is now being used by Xilinx to improve ...
  65. [65]
    Huawei sets sights on mission critical - - Enterprise Times
    Mar 16, 2016 · There is also a clash pending between IBM Power Systems and the OpenPower Foundation with Huawei. ... POWER8 code to the OpenPower Foundation ...
  66. [66]
    OpenPOWER Foundation Names New Board Leadership to Propel ...
    Jan 5, 2016 · Calista Redmond has served as both a delegate on the OpenPOWER Foundation Board and Director for OpenPOWER Global Alliances at IBM since 2014.
  67. [67]
    For IBM/OpenPOWER: Success in 2017 = (Volume) Sales - HPC Wire
    Jan 11, 2017 · Unlike the Power8 chip launch, which was strictly an IBM affair, the Power9 debut (four initial versions) will be an OpenPOWER family affair, ...
  68. [68]
    [PDF] IBM i Support Roadmap and Service Extension Information
    May 5, 2024 · IBM i 7.4 is the last release to support IBM Power8 processor-based systems ... • Version-to-version or release-to-release upgrades. • If ...<|separator|>
  69. [69]
    Release life cycle - IBM i Support
    IBM i 7.3 extended Service Extension starts on October 1, 2026 and goes through September 30, 2028. For details regarding support coverage for the various ...Missing: POWER8 | Show results with:POWER8<|control11|><|separator|>
  70. [70]
    IBM Power8 end of service: What are my options?
    The end-of-service (EoS) support for the entire IBM Power8 server line is scheduled for this year, commencing in March 2024 and concluding in October 2024.
  71. [71]
    The Last Power8 Machine Gets End Of Service Notice - IT Jungle
    Jul 24, 2023 · The Power S812 was given its last day of maintenance support, which is July 31, 2025. That is plenty of enough time to figure out an upgrade plan.
  72. [72]
    Navigating IBM Power8 End of Support
    Jun 20, 2024 · IBM's date for the end of support for all Power8 servers is October 31st, 2024, meaning businesses can no longer get hardware maintenance and ...Missing: timeline | Show results with:timeline
  73. [73]
    Planning for the End of Support of IBM POWER8 Servers - Maxava
    Sep 28, 2023 · POWER8 hardware was announced in 2014 and was withdrawn from marketing in January 2022, a standard early warning sign that support for the generation is ...
  74. [74]
    IBM Power8 End of Service Life (EOSL): What's next for your ...
    Oct 31, 2023 · On November 15, 2022, IBM formally announced End of Service Life (EOSL) dates for select Power8 scale-out and scale-up systems. Depending on ...
  75. [75]
    [PDF] IBM Power E1080 Technical Overview and Introduction
    Nov 15, 2024 · Table 1-2 lists the physical dimensions of the Power E1080 server control unit and a ... core's execution units with a higher degree of ...Missing: breakdown | Show results with:breakdown
  76. [76]
    Big Blue Aims For The Sky With Power9 - The Next Platform
    Aug 24, 2016 · It seems that on average, it will be 75% faster than POWER8. In best case POWER9 is 2.25x faster than POWER8 on Graph Algorithms. Well, we ...<|separator|>
  77. [77]
    IBM Power10 Business Inferencing at Scale with Matrix Math ...
    Dec 10, 2021 · Power10 and its new Matrix Math Acceleration (MMA) capability can drastically improve the performance of machine learning algorithms.Missing: bandwidth | Show results with:bandwidth
  78. [78]
    Upgrading to IBM Power10 (A Facts & Features Guide) - CSI LTD
    Nov 1, 2022 · A two-node box will be more powerful than any POWER8 ever shipped, and per-core performance will be 60-80% more than POWER8. The E1080 system ...
  79. [79]
    IBM Power11 Raises the Bar for Enterprise IT - IBM Newsroom
    Jul 8, 2025 · Power11 offers up to 55% better core performance compared to Power95 and has up to 45% more capacity with higher core counts in entry and mid- ...
  80. [80]
    Power11 is here: New generation of IBM RISC processors detailed
    Jul 22, 2025 · A single Power11 processor offers, at most, 128 threads—which equates to 16 cores with SMT8. On paper, this puts it in the same league as 64- ...
  81. [81]
    IBM's Power11 Processor Architecture at Hot Chips 2025
    Aug 25, 2025 · At Hot Chips 2025, IBM went further into its new generation of POWER. The new Power11 processors offer more of what made IBM Power10 good.