Fact-checked by Grok 2 weeks ago

14 nm process

The 14 nm process is a semiconductor manufacturing technology node representing a generational advancement in MOSFET fabrication, characterized by transistor features approximately 14 nanometers in scale and the widespread adoption of FinFET (fin field-effect transistor) architecture to enhance gate control, reduce leakage, and improve performance-per-watt efficiency over the preceding 22 nm node. Introduced into volume production in 2014, this node marked a significant scaling milestone, enabling higher transistor densities—such as Intel's achievement of around 37.5 million transistors per square millimeter in logic areas—and supporting applications in high-performance computing, mobile devices, and embedded systems. Key innovations in the 14 nm process include the use of second-generation 3D Tri-gate or FinFET transistors, which provide superior electrostatic control compared to planar designs, resulting in up to 2x performance gains at equivalent power or substantial power reductions. Specific metrics from leading implementations highlight these benefits: Intel's variant features a 70 nm gate pitch, 52 nm interconnect pitch, and 0.0588 µm² cell size, enabling ~0.53x logic area scaling from 22 nm while maintaining low leakage. Samsung's 14 nm FinFET integration similarly boosted performance and efficiency, with starting in 2014 and offering notable improvements in speed and power over 20 nm processes. Major foundries adopted variants of this node, with leading in density and integration for x86 processors like Broadwell (launched 2014), while TSMC's equivalent 16 nm process—ramped in 2015—delivered 50% higher speed or 60% lower power at iso-speed compared to the 20 nm process. and also produced 14 nm FinFET chips for diverse markets, including RF and automotive, with the node remaining relevant into the through optimizations like 's 14 nm++ enhancements and continued production as of 2025. Due to delays in subsequent nodes, particularly at , the 14 nm process saw extended use into the , with production continuing as of 2025 for certain and applications. Overall, the 14 nm process facilitated a surge in counts—exemplified by chips exceeding 1.3 billion s—driving the proliferation of multi-core CPUs, accelerators, and energy-efficient mobile platforms.

Overview

Definition and Scaling

The 14 nm process refers to a manufacturing used for fabricating metal-oxide-semiconductor field-effect transistors (MOSFETs), primarily serving as a designation rather than a literal of any single physical feature. In this context, the "14 nm" label approximates a characteristic dimension, such as the contacted gate pitch or metal half-pitch, but actual dimensions vary by implementation and have diverged from strict physical correlations since earlier nodes. This succeeded the 22 nm and 20 nm processes, enabling continued miniaturization in integrated circuits for logic and memory applications. Scaling from the 22 nm node to 14 nm involved a linear dimension reduction factor of approximately 0.7x in key features, leading to significant area compression and performance gains. For instance, gate scaled from 90 to 70 (0.78x), fin from 60 to 42 (0.70x), and interconnect from 80 to 52 (0.65x), resulting in overall logic area scaling of about 0.53x compared to 22 nm. These improvements translated to densities reaching up to 37.5 million per square millimeter, a roughly 2x increase over 22 nm densities, enhancing computational efficiency and power reduction per . While logic scaling at 14 nm emphasized aggressive dimensional shrinks for , memory scaling—particularly for (SRAM)—followed a more geometric approach, with bitcell areas reducing to 0.0588 µm² (0.54x versus 22 nm's 0.108 µm²). This distinction arises because logic benefits from techniques like fin depopulation to boost density, whereas memory structures like SRAM cells scale primarily through uniform feature minimization to maintain stability and yield.

Significance in Moore's Law

The 14 nm process played a pivotal role in upholding by achieving approximately a twofold increase in density compared to the preceding 22 nm node, thereby sustaining the historical trend of doubling counts roughly every two years. This scaling enabled the fabrication of smaller die sizes while maintaining or enhancing functionality, which directly contributed to lower overall power consumption in integrated circuits. For instance, the typical gate pitch of 70 nm and interconnect pitch of 52 nm facilitated tighter packing of transistors and interconnects, optimizing area efficiency without proportionally increasing manufacturing complexity. This density advancement translated into substantial improvements in power efficiency and , particularly for power-sensitive applications such as mobile devices and high-performance servers. The 14 nm node typically delivered a 20-30% reduction in active power consumption relative to 22 nm equivalents at iso-performance, alongside performance boosts of similar magnitude, allowing chips to operate faster or more efficiently on the same power budget. These gains were instrumental in extending life in portable electronics and reducing energy costs in data centers, aligning with Moore's Law's broader implications for computational scalability. Economically, the 14 nm process furthered by decreasing the cost per transistor, making advanced computing more accessible and spurring widespread adoption in . This cost reduction stemmed from improved yield rates and in production, enabling manufacturers to integrate more sophisticated features into affordable devices like smartphones and laptops. By lowering barriers to high-density integration, the node reinforced the economic drivers behind Moore's original observation, fostering innovation across the ecosystem.

Technological Foundations

Transistor Innovations

The 14 nm process marked a pivotal shift in transistor architecture, introducing the (FinFET) as the dominant innovation to overcome the limitations of planar transistors at advanced nodes. Unlike planar metal-oxide-semiconductor field-effect transistors (MOSFETs), which struggled with short-channel effects such as increased leakage and reduced gate control as dimensions scaled below 20 nm, FinFETs adopted a three-dimensional structure where the channel is elevated as a thin vertical fin on the . This design allowed the gate to wrap around three sides of the fin (tri-gate configuration), enhancing electrostatic control and enabling continued scaling while maintaining performance and power efficiency. The core of the FinFET structure consists of silicon fins that serve as the conductive channel, with typical dimensions optimized for the 14 nm node including a fin height of approximately 42 nm and a fin width of 8–10 nm. These proportions ensure effective gate dominance over the channel, significantly mitigating short-channel effects like drain-induced barrier lowering and subthreshold leakage. The integration of high-k metal gate (HKMG) stacks, now in advanced generations, further complements this by replacing traditional silicon dioxide with high-dielectric-constant materials and metal electrodes, reducing gate leakage while supporting higher drive currents. Precursors to fully gate-all-around (GAA) structures, such as enhanced tri-gate designs, were explored in research to preview even tighter channel control for sub-10 nm scaling. Performance gains from FinFET adoption were substantial, with drive current (Idsat) improvements enabling higher speed at equivalent power compared to prior planar technologies. For instance, Intel's second-generation FinFET at 14 nm delivered 15% higher NMOS Idsat and 41% higher PMOS Idsat relative to its 22 nm FinFET predecessor, alongside reduced off-state leakage through optimized HKMG and strain engineering. Overall, 14 nm FinFETs achieved up to 20% higher drive currents over equivalent planar devices, facilitating better energy efficiency in logic applications. Leakage was reduced in low-power modes, critical for mobile and . Manufacturer-specific variations highlighted the flexibility of FinFET implementation. Intel's Tri-Gate FinFET emphasized rectangular with precise self-aligned double patterning for , while Samsung's 14 nm FinFET focused on high-mobility channels for mobile optimization, both typically employing 2–3 parallel per to scale effective channel width and boost drive current without excessive area penalty. These multi-fin configurations allowed designers to performance, power, and layout , with fin counts adjusted based on circuit requirements.

Process Characteristics

The 14 nm process relied on 193 nm enhanced by multi-patterning techniques to achieve critical feature sizes, as (EUV) lithography was still in early development and not yet adopted for high-volume production. Specifically, double patterning methods such as lithography-etch-lithography-etch (LELE) and self-aligned double patterning (SADP) were employed for fins and gates, while quadruple patterning was used for select metal layers to resolve pitches down to approximately 70 nm, addressing the resolution limits of ArF tools. These approaches introduced precursors to EUV integration, including improved sensitivity and underlayer materials to mitigate defects in patterning. Interconnects in the 14 nm process utilized metallization with low- dielectrics ( ≈ 2.7) to reduce and signal delay, incorporating ultrathin conformal barriers (8-14 nm thick) for effective Cu diffusion control and resistance. was lowered through liners and caps (17-46 Å thick), which improved Cu wettability and provided a 10-1000x enhancement in lifetime compared to traditional barriers, enabling reliable scaling of local interconnects. Strain engineering in the 14 nm process incorporated epitaxial SiGe in PMOS source/drain regions to induce compressive stress in the channel, enhancing hole mobility by altering the valence band structure. This technique generated stresses ranging from -1.0 GPa at the fin bottom to -1.7 GPa at the top in gate-first flows, with gate-last processes capable of exceeding 3 GPa while managing defect risks through optimized SiGe facet shapes that increased contact area by up to 73%. Such mobility boosts were integral to FinFET integration, supporting overall device performance without altering core transistor metrics. Yield and defect challenges in the 14 nm process were exacerbated by edge placement error (EPE) in multi-patterning, where combined overlay, (CD) uniformity, and line-edge roughness errors approached a 5 nm budget, leading to misalignments, shorts, and reduced parametric yields. Pitch walking and local CD variations from additional etch and deposition steps in SADP/SAQP flows further contributed to defect densities, necessitating advanced for EPE control to maintain viable production ramps.

Development and Timeline

Research Background

The foundational research for the 14 nm process emerged in the early 2000s, driven by the need to extend scaling beyond the limitations of planar transistors. At the , researchers developed the FinFET (fin field-effect transistor) as a non-planar, multi-gate structure to enhance gate control and suppress short-channel effects in nanoscale devices. The concept was proposed in 1996 and first demonstrated experimentally in 1999 using an 18 nm gate length P-channel device, with key advancements patented in 2002 under U.S. Patent 6,413,802 by Tsu-Jae King Liu and Chenming Hu. This work established FinFETs as scalable to sub-20 nm dimensions, providing superior electrostatic integrity compared to bulk silicon s. Concurrent efforts at institutions like explored multi-gate transistor architectures, contributing to the theoretical and experimental groundwork for FinFET adoption in advanced nodes. By the mid-2000s, these structures were recognized for their potential to maintain performance while reducing power consumption in logic circuits. From 2008 to 2010, industry consortia such as played a pivotal role by funding collaborative studies on sub-20 nm scaling challenges through the International Technology Roadmap for Semiconductors (ITRS). These initiatives addressed critical issues including quantum tunneling, which causes increased off-state leakage in short-channel MOSFETs, and process variability arising from random fluctuations and line-edge roughness. The ITRS 2009 edition specifically highlighted the necessity of multi-gate devices like FinFETs to achieve the required and drive current at these scales while mitigating variability impacts on circuit reliability. Seminal prototypes underscored the path to 14 nm feasibility. In 2002, UC Berkeley researchers demonstrated FinFET devices at scales around 100 nm, including simulations and fabrications showing low drain-induced barrier lowering (DIBL) and scalability to 10 nm gate lengths, as reported in IEDM proceedings. Complementing this, IBM's 2002 demonstration of functional FinFET-based inverter chains with gate lengths of 200 nm validated integration with existing processes and paved the way for further evolution to 14 nm by demonstrating reduced subthreshold swing and improved on-off ratios. These efforts collectively proved FinFETs' viability for high-volume manufacturing at advanced nodes.

Technology Milestones

The development of the 14 nm process involved several pivotal demonstrations and announcements between 2011 and 2014, focusing on fabrication, performance, and integration challenges prior to commercial production. In December 2011, reported achieving a functional 14 nm process in its laboratories, capable of producing initial integrated circuits, marking an early in scaling beyond the 22 nm . This laboratory success laid the groundwork for subsequent FinFET implementations, building on prior non-planar . Samsung advanced mobile-oriented 14 nm FinFET technology with a December 2012 announcement, revealing successful tape-outs of multiple test chips, including a full processor core and an SRAM-based chip operating at near-threshold voltages. These prototypes demonstrated enhanced power efficiency and leakage control suitable for system-on-chips (SoCs) in handheld devices, supported by design tools from partners like , , , and . In September 2013, at its Developer Forum (IDF), showcased a fully operational laptop powered by a 14 nm Broadwell SoC, highlighting up to 30% lower power consumption compared to the prior 22 nm Haswell generation while maintaining comparable performance. This public demonstration validated the process's viability for consumer computing applications. initiated risk production of its 16 nm FinFET process—scaling equivalently to 14 nm nodes elsewhere—in 2013, enabling early customer tape-outs and process validation for high-volume SoC manufacturing. Conferences such as the Symposia on from 2012 to 2014 featured key presentations on 14 nm advancements, including 's 2013 paper on layout-induced stress effects in 14 nm and 10 nm FinFETs, which addressed variability and yield optimization. At the 2014 International Devices Meeting (IEDM), detailed its mature 14 nm technology, incorporating second-generation FinFETs, self-aligned double patterning for interconnects, and air-gapped dielectrics, achieving a high-density bit cell of 0.0588 µm² with array densities exceeding 11 Mb/mm² and improved yields through refined doping and patterning techniques. These disclosures underscored progress in density, speed, and reliability essential for next-generation .

Commercial Rollout

initiated the commercial rollout of its 14 nm process in September 2014 with the launch of the Core M processor, a low-power chip designed for fanless tablets and ultrathin laptops, marking the first consumer-available product built on this node. This debut followed internal volume manufacturing that began earlier in the year, enabling to deliver enhanced power efficiency and performance over prior 22 nm generations. Samsung followed in early 2015, announcing the 7 Octa (model 7420) as its first 14 nm FinFET-based mobile application processor, entering to power flagship smartphones like the Galaxy S6. The chip's rollout highlighted 's aggressive push into advanced mobile SoCs, offering up to 20% better performance and 35% lower power consumption compared to 20 nm predecessors. TSMC commenced volume production of its 16 nm FinFET process—functionally equivalent to 14 nm—in the second quarter of 2015, with Apple as an early major client for the A9 processor used in the and iPhone 6s Plus. By mid-2015, and Samsung shared A9 orders, though captured the majority, underscoring the foundry's ramp-up to meet high-volume mobile demands. The transition to 14 nm production across manufacturers faced significant ramp-up challenges, including initial yields estimated at 30-50% due to complexities in FinFET integration and defect control. These issues delayed full-scale output, but yields improved progressively, reaching approximately 80% by 2016 as process optimizations matured.

Manufacturers and Variants

Intel's 14 nm Node

Intel's 14 nm process node represents a key advancement in semiconductor manufacturing, employing second-generation Tri-Gate FinFET transistors to enable superior , power efficiency, and density compared to prior nodes. Introduced in volume production in 2014, this node builds on FinFET architecture to control leakage and enhance drive currents in high-performance logic applications. The process evolved through three generations: the baseline 14 nm in 2014, 14 nm+ in 2016 featuring transistor optimizations for increased clock speeds, and 14 nm++ in 2017 with additional refinements such as improved drive currents and efficiency gains of up to 26% in at iso-power. Transistor in the initial 14 nm generation reached 37.5 million per square millimeter (MTr/mm²), providing significant scaling from the 22 nm node while maintaining compatibility with advanced . The 14 nm++ iteration maintained similar (~37.5 MTr/mm²) through techniques like relaxed poly pitch and enhanced interconnects, allowing for more compact x86 CPU designs without proportional power increases. Unique to Intel's implementation, the 14 nm node is tailored for x86 processor workloads, integrating embedded DRAM (eDRAM) options for larger on-die caches to improve memory bandwidth in compute-intensive tasks. It also supports high-voltage configurations, enabling transistors to operate at elevated voltages for boosted frequencies while managing thermal and reliability constraints. Manufacturing for the 14 nm ramped up at Intel's Fab 42 facility in , and D1X in , marking the company's first high-volume 14 nm sites and supporting global supply for server and client .

Samsung's 14 nm Process

Samsung introduced its 14 nm process variants through its services in 2015, targeting low-power optimizations for and applications. The 14LPE (Low Power Early) debuted in early 2015 with the launch of the 7 Octa application , representing Samsung's first mass production of FinFET-based logic at this scale. This variant emphasized energy efficiency for battery-constrained devices, achieving a transistor density of approximately 33 million per square millimeter (MTr/mm²). Building on 14LPE, Samsung rolled out the 14LPP (Low Power Plus) node in 2016 as a refined , offering up to 15% higher at equivalent power or 15% lower power at the same speed compared to its predecessor. This enhancement supported key mobile SoCs, including Samsung's series and Qualcomm's Snapdragon 820, which leveraged 14LPP for improved efficiency in flagship smartphones. The low-power focus of both nodes enabled denser integration in power-sensitive designs without compromising battery life. A core innovation in Samsung's 14 nm family is its second-generation FinFET transistors, featuring taller fins—up to 42 nm in height—to boost drive current and enhance overall switching speed. These structural improvements, combined with optimized fin pitch and thinner profiles, allowed for better electrostatic control and reduced leakage, distinguishing the process for mobile workloads. Production of the 14 nm nodes occurs primarily at 's facilities in , with high-volume output supporting both in-house chips and foundry clients. To aid adoption, partnered with in 2012 to develop and provide 14 nm physical IP and libraries, enabling seamless integration of ARM-based designs in foundry services. This collaboration extended to test chips and design kits, accelerating customer tape-outs for mobile processors.

TSMC and Other Foundries

introduced its 16 nm FinFET process, often marketed as equivalent to the 14 nm and designated as N16 or N14 variants, entering volume production in the second half of . This technology featured transistor densities of approximately 28.9 million s per square millimeter (MTr/mm²) for the 16FF variant, with up to ~10% density improvement in enhanced 16FFC variants, enabling significant improvements in and over prior s. Major clients included Apple, which utilized the process for its A9 system-on-chip in the , and for its Helio P20 mobile processor, highlighting TSMC's focus on mobile applications. The N16 variant was optimized for (HPC) workloads, offering enhanced speed and density, while N14 targeted low-power mobile devices with refinements in FinFET gate structures for better . GlobalFoundries developed a 14 nm FinFET process akin to Samsung's 14LPP, achieving production readiness in 2015 for clients like AMD's graphics processors. The company discontinued investment in further advanced nodes beyond 12 nm in 2018 to prioritize profitability, but continued supporting 14 nm for specialized radio-frequency (RF) and analog applications, leveraging its strengths in mixed-signal integration. Other foundries adopted 14 nm more selectively for niche markets. (UMC) rolled out its 14 nm FinFET technology around 2018, targeting power management ICs and display drivers with competitive speed and density metrics suitable for cost-sensitive segments. Semiconductor Manufacturing International Corporation (SMIC) experienced delays in 14 nm development due to equipment constraints and sanctions, achieving only by , though it eventually supported domestic mobile and chips.

Applications and Impact

Key Products

The 14 nm process enabled several landmark products in and , particularly in and processors. Intel's Broadwell architecture, introduced in 2015 for laptops and ultrabooks, was the company's first widespread implementation of the 14 nm node, featuring second-generation tri-gate transistors for improved power efficiency in mobile platforms. This was followed by the Skylake family in late 2015, targeting desktops and high-performance laptops with enhanced integrated graphics and broader compatibility via the Intel 100 series chipset. extended the 14 nm node through subsequent iterations like and up to 2018, powering a range of Core i-series processors before transitioning to 10 nm with limited Cannon Lake releases. In servers, the 14 nm process supported processors based on the Broadwell-EP , launched in 2016 for centers and , enabling higher core counts and efficiency in scalable systems. Samsung leveraged its 14 nm FinFET process for system-on-chips (SoCs), starting with the 7420 in 2015, which powered the Galaxy S6 smartphone and featured an octa-core ARM-based design with integrated modem for improved battery life and performance in devices. The , fabricated by on its refined 14 nm low-power plus (LPP) variant in 2016, was Qualcomm's SoC and equipped the Galaxy S7 series with custom CPU cores, Adreno 530 GPU, and advanced X12 connectivity, marking a shift from for high-volume production. TSMC's equivalent 16 nm FinFET+ process, often aligned with the 14 nm generation in performance and density, supported key mobile and graphics products. The , partially produced by in 2015 for the , utilized a dual-core design with PowerVR GT7600 GPU, enabling efficient in premium smartphones (while supplied the other variant on 14 nm). Nvidia's Pascal GPUs, built on TSMC's 16 nm node starting in 2016, included the GP100 for data centers and consumer cards like the GTX 1080, delivering high-bandwidth memory support and up to 9 teraflops of performance for gaming and AI workloads.

Performance and Market Effects

The 14 nm process delivered notable performance enhancements over preceding s, particularly in CPU architectures. For Intel's implementations, the transition from the 22 nm Haswell to the 14 nm Broadwell generation yielded up to 50% faster multi-threaded CPU performance in benchmarks like SPECfp_rate_base2006, driven by architectural optimizations and the denser transistor layout. In mobile applications, Samsung's 14 nm FinFET process enabled up to 20% higher speeds compared to its 20 nm predecessor, facilitating smoother multitasking and higher clock rates in system-on-chips (SoCs). Qualcomm's Snapdragon 820, fabricated on a 14 nm , achieved up to 40% better graphics performance while supporting advanced workloads. Power efficiency improvements were equally significant, extending battery life in mobile devices. Samsung's 14 nm technology reduced power consumption by 35% relative to 20 nm, allowing for prolonged usage in smartphones without compromising . Similarly, the Snapdragon 820 lowered overall power draw by 30% over its prior generation, contributing to extended endurance in mid-2010s flagships. Intel reported over 2x better across its 14 nm processors compared to 22 nm nodes, with a 60% reduction in for low-end parts, directly translating to longer life in ultrabooks and tablets. These advancements shifted market dynamics by enabling new capabilities in consumer devices during the mid-2010s. The 14 nm process powered early video encoding and decoding in smartphones, as seen in the Snapdragon 820's support for at 60 fps with HEVC 10-bit, which debuted in devices like the in 2016. It also facilitated initial acceleration through efficient DSPs and GPUs, allowing on-device processing for features like in mobile cameras, marking a step toward edge in everyday computing. Economically, the 14 nm node reduced chip costs through higher density, achieving 2.5x the of 22 nm while wafer costs rose only 27%, resulting in lower cost per transistor and broader accessibility for high-volume products. This efficiency helped drive global shipments to 1.45 billion units in , a modest 0.6% year-over-year growth amid maturing markets but fueled by affordable 14 nm-enabled devices. Competition intensified as Intel's extended reliance on 14 nm—spanning multiple generations without a timely shift to smaller nodes—allowed foundries like and to advance to 10 nm and 7 nm, closing the performance gap and enabling rivals like to capture significant in and servers. 's superior processes in particular eroded Intel's historical lead, shifting more design wins to external foundries and reshaping the .

Legacy and Current Role

Limitations and Challenges

The 14 nm FinFET process faced inherent scaling limitations, as further yielded on performance gains due to increased process variability, with fin dimensions exhibiting variations up to 10% (3σ) in body thickness and channel length, which degraded device consistency and electrostatic control. Additionally, a power wall arose from escalating leakage currents; as effective channel lengths approached roughly 20 nm—spanning about 90 atoms—off-state leakage surged, partially offsetting the initial reductions in achieved by FinFET adoption and complicating voltage scaling for . These issues highlighted the thermal constraints of FinFETs, where heat accumulation on narrow fins and interconnects limited clock speeds and overall improvements beyond the node. Economic challenges intensified with the delay in (EUV) lithography deployment, compelling manufacturers to rely on with nm tools, which escalated complexity and costs; constructing a single 14-16 nm fabrication facility required investments exceeding $10 billion, driven by the need for additional exposure steps and precision equipment. Yield hurdles further compounded these difficulties, as the process demanded dozens of masking layers—typically 50-60 for devices—resulting in intricate requirements and higher defect rates during initial ramps, which prolonged and increased per-wafer expenses. Environmentally, the 14 nm node amplified resource demands compared to larger processes; energy consumption for manufacturing a DRAM device nearly doubled between the 110 nm node in 2004 and 14 nm by 2020, reflecting the intensified chemical and thermal processing steps. Water usage per wafer also rose with scaling complexity, with 14 nm production requiring 10-20 cubic meters—higher than the 6-10 cubic meters for 28-90 nm nodes—primarily for ultra-pure water in cleaning and rinsing, straining fab sustainability efforts.

Continued Usage in 2025

By 2025, the 14 nm process has solidified its position as a mature node technology, particularly in sectors where cost efficiency outweighs the need for maximum density, such as , (IoT) devices, and (RF) applications. Foundries like continue to leverage 14 nm for RF components in automotive and connectivity modules, capitalizing on the node's established rates and reduced expenses relative to sub-10 nm alternatives. Similarly, maintains 14 nm lines for legacy RF processes, which support networking and where reliability and affordability are paramount. The 14-28 nm nodes, including 14 nm, accounted for approximately 35% of the semiconductor manufacturing market in 2024 and are expected to remain dominant in 2025, particularly in non-AI-driven segments driven by steady requirements in industrial and hardware. Chinese foundries are projected to hold over 25% of the top 10 foundries' mature process capacity by the end of 2025, with overall mature node capacity growing by 6% annually. and sustain dedicated production lines for 14 nm to accommodate designs and cost-optimized products, amid this broader expansion of mature node fabs. Geopolitical initiatives, notably the , have reinforced 14 nm's role through subsidies aimed at bolstering domestic secure supply chains for mature semiconductors. Allocated $39 billion in manufacturing incentives, the Act supports facilities producing nodes like 14 nm to mitigate risks in critical areas including automotive and , fostering resilience against global disruptions. While no significant technological revivals have occurred for 14 nm, hybrid integrations with adjacent 10 nm elements are appearing in cost-sensitive designs to blend economics with incremental performance gains.

References

  1. [1]
    [PDF] 14 nm Process Technology: Opening New Horizons - Intel
    Software and workloads used in performance tests may have been optimized for performance only on Intel microprocessors. Performance tests, such as SYSmark* ...
  2. [2]
    Semiconductor Technology Node History and Roadmap - AnySilicon
    The first 14 nm scale devices were shipped to consumers by Intel in 2014. Samsung first released their version of a 10 nm process node in 2017.
  3. [3]
    What is a FinFET? – Benefits & How it Works - Synopsys
    Microchips utilizing FinFETs became commercialized in the first half of the 2010s, and became the dominant gate design at 14 nm, 10 nm and 7 nm process nodes.
  4. [4]
    Logic Node - Process Technology - Samsung Semiconductor
    Samsung's integration of 3D FinFET technology into its 14nm process represented a light-speed jump in the foundry industry, raising performance, boosting power ...
  5. [5]
    16/12nm Technology - Taiwan Semiconductor Manufacturing ...
    TSMC's 16/12nm processes provide the best performance among the industry's 16/14nm offerings. It is 50% faster and consumes 60% less power at the same speed, ...
  6. [6]
    A Node By Any Other Name - Semiconductor Engineering
    May 12, 2014 · The metal half-pitch and the “gate length” were all in agreement, and a 0.5μm or 0.35μm process meant that those dimensions all lined up.
  7. [7]
    A Better Way to Measure Progress in Semiconductors - IEEE Spectrum
    Jul 21, 2020 · That means that there are about 100 million transistors within a square millimeter of silicon. Processors fabricated at the 5-nm node are in ...<|control11|><|separator|>
  8. [8]
    Intel is keeping Moore's Law alive by making bigger improvements ...
    Mar 29, 2017 · The current 14nm process is 37.5MTr/mm2, and at 10nm, the company will hit 100.8MTr/mm2. Competing 14nm/16nm processes only offer around 28MTr/ ...
  9. [9]
    Memory versus Logic improvements in new process nodes | SemiWiki
    Oct 15, 2021 · Logic scales more aggressively through fin depopulation, while memory scales geometrically. SRAM bitcells are fixed size, and logic density is ...Missing: 14 nm
  10. [10]
    14 nm lithography process - WikiChip
    Mar 19, 2025 · The 14 nanometer (14 nm) lithography process is a semiconductor manufacturing process node serving as shrink from the 22 nm process.Industry · Intel · Specifications · IBM
  11. [11]
  12. [12]
  13. [13]
    (PDF) A 14nm logic technology featuring 2 nd-generation FinFET ...
    Nov 21, 2015 · PDF | On Dec 16, 2014, Hei Kam published A 14nm logic technology featuring 2 nd-generation FinFET, air-gapped interconnects, self-aligned ...Missing: Samsung | Show results with:Samsung
  14. [14]
  15. [15]
    The Status of Moore's Law: It's Complicated - IEEE Spectrum
    Oct 28, 2013 · Carrying this technique over from the 20-nm node to 14 nm would mean that chipmakers would have to double-pattern even more layers of the chip.
  16. [16]
    Photomask Technology 2016 | (2016) | Publications - SPIE
    Dec 8, 2016 · The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in ...
  17. [17]
    Extreme Ultraviolet (EUV) Lithography VIII | (2017) - SPIE
    May 18, 2017 · The optimized conditions allowed patterning 14 nm half-pitch (hp) lines ... Multi-patterning has been adopted widely in high volume ...
  18. [18]
    Ultrathin (8-14 nm) Conformal SiN for sub-20 nm Copper/Low-k ...
    Aug 8, 2025 · The conformal ultrathin (8-14 nm) multilayer SiN cap is robust with higher breakdown field, lower leakage and forms a good oxidation barrier.
  19. [19]
  20. [20]
    14 nm FinFET Stress Engineering with Epitaxial SiGe Source/Drain
    The SiGe source/drain is going to be the main stress source for the 14 nm PMOS FinFET due to the tight gate pitch and due to the gate-last high-k metal gate ...
  21. [21]
    Patterning Problems Pile Up - Semiconductor Engineering
    Mar 20, 2017 · Edge placement error emerges as the top issue at advanced nodes. Chipmakers are ramping up 16nm/14nm finFET processes, with 10nm and 7nm now ...
  22. [22]
    [PDF] FinFET History, Fundamentals and Future - People @EECS
    Jun 11, 2012 · • Collaborators at UC-Berkeley. • Early research funding: DARPA, SRC, AMD. • UC Berkeley Microfabrication Laboratory. (birthplace of the FinFET)
  23. [23]
    [PDF] FinFET 3D Transistor & the Concept Behind It - Berkeley Microlab
    1996: UC Berkeley proposed both to DARPA as “25nm Transistors”. • 1999: demonstrated FinFET. 2000: demonstrated UTB-SOI. • Since 2001: ITRS highlights FinFET ...
  24. [24]
    IMEC benchmarks FinFET superiority - EDN Network
    Jun 16, 2011 · LONDON – The IMEC researchinstitute has compared one planar and two FinFET technologies to see how theyperform against scaling and process ...
  25. [25]
    [PDF] 2011 EDITION - Semiconductor Industry Association
    ... sub 20 nanometer scale and be extensible to nanometer scale. This challenge, to improve the control of material properties for nanometer (nm) scale.
  26. [26]
    Demonstration of FinFET CMOS circuits - IBM Research
    Jun 24, 2002 · We present, to our knowledge, the first published experimental demonstration of a CMOS inverter chain built from FinFETs, ...Missing: Intel 100
  27. [27]
    Intel running 14nm process | Electronics Weekly
    Dec 12, 2011 · Intel has a 14nm process producing ICs in its laboratories, according to its managing director for northern Europe Pat Bliemer, ...Missing: IEDM GHz
  28. [28]
    Samsung Delivers Strong 14nm FinFET Logic Process and Design ...
    Dec 21, 2012 · The Samsung 14nm FinFET enablement for SoC design provides improved leakage and dynamic power advantages to the expanding mobile computing ...Missing: 2013 demos
  29. [29]
    Intel CEO Brian Krzanich shows working 14nm SoC laptop ...
    Sep 10, 2013 · Just now onstage at IDF 2013, CEO Brian Krzanich showed off a functioning laptop running on a 14nm Broadwell Intel SoC. Naturally, Krzanich ...Missing: demonstrates Ultrabook
  30. [30]
    [PDF] 2013 Symposia on VLSI Technology and Circuits June 11th (Tuesday)
    Intel. National. Chiao Tung. Univ. A 28.6μW Mixed-Signal Processor for Epileptic. Seizure Detection imec. Layout-Induced Stress Effects in 14nm & 10nm. FinFETs ...
  31. [31]
    Intel launches first 14-nanometre processor for thin fanless PCs - BBC
    Sep 5, 2014 · Intel formally says the tiny transistors on its new family of chips will let powerful, "razor-thin", fanless computers become possible.Missing: prototype demonstration
  32. [32]
    Intel Discloses Newest Microarchitecture and 14 Nanometer ...
    Aug 11, 2014 · “Intel's 14 nanometer technology uses second-generation Tri-gate transistors to deliver industry-leading performance, power, density and cost ...
  33. [33]
    Samsung Announces Mass Production of 2nd Generation 14 ...
    Jan 14, 2016 · Samsung's new 14nm LPP process delivers up to 15 percent higher speed and 15 percent less power consumption over the previous 14nm LPE process ...
  34. [34]
    TSMC, Samsung start A9 chip mass production - digitimes
    Jul 16, 2015 · TSMC and Samsung Foundry, which share orders for Apple's A9 application processors, have both started volume production of the chips, ...
  35. [35]
    Apple makes 'last-minute decision' to use TSMC for 30% of 'A9' chip ...
    Apr 15, 2015 · Specifically, GlobalFoundries' "A9" chip yield rate is said to currently be at about 30 percent yield rate, which is well below what Kuo said is ...<|control11|><|separator|>
  36. [36]
    Intel 2014 Investor Meeting and 14nm Status - SemiWiki
    Nov 21, 2014 · From what I have heard Samsung and Global Foundries continue to struggle with 14nm yields. On slide 7, 14nm pitches of 42nm for STI, 70nm for ...
  37. [37]
    Kaby Lake - Microarchitectures - Intel - WikiChip
    Apr 9, 2025 · Enhanced "14nm+" process results in ~15% higher frequency (100 to 300 MHz increase across the board, same price) · Same IPC as Skylake (i.e. ...Missing: ++ | Show results with:++
  38. [38]
    Coffee Lake - Microarchitectures - Intel - WikiChip
    14nm++ allows for +23-24% higher drive current. Intel claims their 14nm++ process provides up to 26% more performance at the same power or 52% less power at the ...
  39. [39]
    [PDF] 14 nm Technology Leadership - Dr. Ruth Brain - WikiChip
    Using NAND+SFF metric, 14 nm provides better-than-normal logic transistor density improvement. 37.5 MTr / mm2. (0.40x area). Source: Amalgamation of analyst ...Missing: mm² | Show results with:mm²
  40. [40]
    Discussion - Intel current and future Lakes & Rapids thread | Page 98
    Jun 18, 2017 · ... Intel's 14nm process, with the theoretical density of 43.5MTr/mm2. Intel, with Skylake 4+2 achieved just 14.3MTr/mm2, or a 33% density ...Missing: mm² | Show results with:mm²
  41. [41]
    Broadwell's eDRAM: VCache before VCache was Cool
    Nov 1, 2024 · Intel needs extra storage and tags to make the eDRAM work as a cache, and that goes into Broadwell's L3 slices. Caches need tags to track what ...
  42. [42]
    Intel's 14nm Process Smaller Pitch, Smaller Die, Same Power ...
    Feb 11, 2016 · I believe 14nm's efficiency improvements come further down the frequency curve. Mobile parts get a nice boost, 4GHz desktops not so much.
  43. [43]
    Mass Production at Intel's 14 nanometer Node Begins This Year
    Jan 21, 2013 · Among the three Intel facilities with 14 nm nodes are D1X, located in Oregon; Fab 42, located in Arizona; and Fab 24, located in Ireland.
  44. [44]
    Intel to Commence Production of 14nm Broadwell Processors in Q4 ...
    Jan 21, 2013 · Intel announced that it would start mass production of its 14nm node at three of its fabs would begin by Q4 2013, these include the D1X (Oregon ...
  45. [45]
    Samsung Announces Mass Production of Industry's First 14nm ...
    Feb 16, 2015 · Samsung's leading-edge14nm FinFET process will be adopted by its Exynos 7 Octa, then expanded to other products throughout the year.
  46. [46]
    Intel 14nm vs Samsung 14nm: Is there a REAL difference in ...
    Oct 24, 2025 · Intel's 14nm uses FinFET transistors with unique optimizations, whereas Samsung's 14nm FinFET process is designed with other trade-offs in ...
  47. [47]
    Samsung Begins Mass Production Of Next-Generation 14nm LPP ...
    Jan 14, 2016 · Samsung announced the mass production of chips that use the company's next-generation 14nm Low-Power Plus process technology.<|separator|>
  48. [48]
    Here's How Samsung's 14-Nanometer Transistors Compare to Intel ...
    May 18, 2015 · According to Intel, making the fins taller and thinner improves transistor drive current and performance. Now, look at this image from ...
  49. [49]
    Samsung's 14 nm LPE FinFET Transistors - EE Times
    Jan 19, 2016 · The company prepares to launch its 14 nm Low Power Plus (LPP) process that is an update to its current Low Power Early (LPE) process used in its Exynos 7 SoC ...
  50. [50]
    Samsung and GLOBALFOUNDRIES Forge Strategic Collaboration ...
    Apr 17, 2014 · Shared technology allows global capacity for 14nm FinFET fabrication in the U.S. and Korea. Seoul, Korea and Santa Clara, Calif.
  51. [51]
    ARM and Cadence Tape Out First 14nm FinFET Test Chip Targeting ...
    Dec 20, 2012 · Designed with a complete Cadence RTL-to-signoff flow, the chip is the first to target Samsungs 14-nanometer FinFET process, accelerating the ...
  52. [52]
    TSMC starts producing 16nm chips in volume - Taipei Times
    Aug 11, 2015 · Taiwan Semiconductor Manufacturing Co (TSMC, 台積電) yesterday said it has started volume production of 16-nanometer (nm) chips, ...Missing: yield | Show results with:yield
  53. [53]
    TSMC 7nm, 16nm and 28nm Technology node comparisons
    Sep 24, 2021 · TSMC 7nm, 16nm and 28nm Technology node comparisons ; 9, Standard Cell Height, 240 nm (6T) ; 10, Transistor Density, 91.2 M/mm2, 28.9 M/mm2.Missing: MTr/ | Show results with:MTr/
  54. [54]
  55. [55]
    TSMC Said to Manufacture Apple's A9 Chip on 16nm FinFET ...
    Aug 25, 2014 · TSMC is said to start manufacturing the A9 chip on a 16nm process in Q1 of 2015, ahead of schedule.
  56. [56]
    MediaTek Launches Its First 16 nm SoC - EE Times
    MediaTek's use of Taiwan Semiconductor Manufacturing Co.'s (TSMC's) 16 nm process technology to make the P20 has helped to lower the power consumption and boost ...
  57. [57]
    Achieve Optimal PPA for 16FFC SoCs with Foundation IP - Synopsys
    Oct 24, 2016 · TSMC's 16FFC process offers improvements in process rules and variability to enable smaller designs at higher performances, using less power.
  58. [58]
    GLOBALFOUNDRIES Achieves 14nm FinFET Technology Success ...
    Nov 5, 2015 · Today's announcement represents another significant milestone towards reaching full production readiness of GF's 14LPP process technology, which ...
  59. [59]
    GlobalFoundries Stops All 7nm Development !! | AnandTech Forums
    Aug 27, 2018 · The only node GlobalFoundries has already projected profits(no loss) from is 22FDX.(quote)14-nm and 12-nm FinFET processes for radio-frequency, ...
  60. [60]
    14nm - Technologies - UMC
    UMC's 14nm FinFET technology performance is competitive with the semiconductor industry's leading standards, featuring 55% higher speed and twice the gate ...Missing: niche | Show results with:niche
  61. [61]
    How SMIC Can Keep Up With Advanced Process Technologies
    Jul 15, 2020 · Rather slow ramp of SMIC's 28nm and 14nm nodes and domination of mature technologies in the company's revenue demonstrates that the company ...
  62. [62]
    5th Generation Intel® Core™ Processors: Overview
    Manufactured on Intel's industry-leading 14nm process technology with 2nd generation tri-gate transistors, these 5th generation Intel Core processors provide ...
  63. [63]
    6th Generation Intel® Core™ Desktop Processors: Get Started
    The 6th generation Intel Core processor family is manufactured on Intel's latest 14 nm technology. Paired with the Intel 100 series chipset.
  64. [64]
    Intel will release 8th-gen Coffee Lake chips this year—still at 14nm
    Feb 13, 2017 · Intel's eighth-generation Core CPUs, codenamed Coffee Lake, will launch in the second half of 2017—far earlier than the 2018 launch period ...
  65. [65]
    Samsung's 14 nm LPE FinFET transistors ... - eeNews Europe
    Jan 19, 2016 · Samsung's recent press releases suggest that the LPP process will feature a 15% increase in the transistor switching speed and a 15% power consumption decrease.
  66. [66]
    Qualcomm building Snapdragon 820 on Samsung 14nm node
    Jan 14, 2016 · Qualcomm has confirmed that it will use Samsung's 14nm LPP (Low Power Plus) process for its Snapdragon 820 SoC. This will be the first time ...
  67. [67]
    [PDF] Pascal Architecture Whitepaper | NVIDIA Tesla P100
    While TSMC's 16-nm FinFET manufacturing process plays an important role, many GPU architectural modifications were also implemented to further reduce power ...
  68. [68]
    [PDF] 820 - Qualcomm
    Enhance your smartphone camera capability with features that can track faces and objects for a more intelligent mobile experience. Deeply immersive. 3D gaming.
  69. [69]
    Intel Now Packs 100 Million Transistors in Each Square Millimeter
    And in the move from 14-nm to 10-nm chip manufacturing technology, the jump was 2.7x, from 37.5 million transistors per square millimeter to more than 100 ...
  70. [70]
    Intel vs. Intel - EE Times
    Intel previously stated that wafer cost increased by 27% for 14nm over 22nm. The SRAM cell size at 22nm was 0.092um2 and for 14nm is 0.588um2. 1.27x cost ...
  71. [71]
    IDC: Smartphone Shipment Growth to Slow Sharply, Total 1.45 ...
    Nov 30, 2016 · Global smartphone shipment growth will be just 0.6% year-over-year (YoY) in 2016 to total 1.45 billion units, according to the latest from ...<|separator|>
  72. [72]
    Intel's Decline: The Fall of a Silicon Valley Giant (2000–2025)
    TSMC and Samsung — were rapidly closing the technology gap.
  73. [73]
    Intel: Fighting for its Life… and Losing — WBR
    May 8, 2025 · TSMC's and Samsung's superior manufacturing processes have allowed for AMD to capture “meaningful market share in Intel's core PC and data ...
  74. [74]
    Semiconductor Manufacturing Market Size, Report by 2034
    Sep 19, 2025 · The 14–28 nm node continues to dominate the market due to its balance of performance, cost-efficiency, and reliability. Widely used in ...Missing: per | Show results with:per
  75. [75]
    Top 10 Semiconductor Foundries [2025] - Averroes AI
    Nov 29, 2024 · Focusing on mature process nodes (14nm and above) and specialized technologies, they cater to a broad range of markets, including automotive, ...
  76. [76]
    Samsung updates process technology roadmap: 2nm in 2025, 1.4 ...
    Jun 28, 2025 · Samsung plans to launch 2nm SF2 in 2025, 1.4nm SF1.4 in 2027, SF2P in 2026, and SF2A in 2027. 5nm RF is expected in the first half of 2025.
  77. [77]
    Mature Process Capacity to Grow 6% in 2025; Chinese Foundries ...
    Oct 24, 2024 · It is estimated that the capacity of the world's top 10 mature process foundries will increase by 6% in 2025, though pricing pressures will ...
  78. [78]
    14nm Wafer Foundry Market Size & Share 2025-2032
    The 14nm Wafer Foundry Market is projected to grow by USD 3.73 billion at a CAGR of 7.53% by 2032.
  79. [79]
    Impact of CHIPS Act on Semiconductor Distributors in USA - Vyrian
    Jul 18, 2025 · This package includes $39 billion in subsidies for making chips on U.S. soil and adds a 25% tax credit for manufacturing equipment costs.
  80. [80]
    [News] China to Investigate U.S. Chip Subsidies, Suspected Legacy ...
    Jan 17, 2025 · The report points out that the CHIPS Act subsidies announced thus far allocate the largest amounts to Intel (USD 7.86 billion), followed by TSMC ...
  81. [81]
    Legacy Process Nodes Going Strong - Semiconductor Engineering
    Jul 23, 2024 · “However, the number of die per wafer increased around 50%, so the manufacturing cost per die decreased about 20% to 25% per node.” Companies ...