Fact-checked by Grok 2 weeks ago

X-ray lithography

X-ray lithography (XRL) is a nanofabrication that employs soft X-rays with wavelengths typically ranging from 0.4 nm to 10 nm to transfer detailed patterns from a onto a resist-coated , enabling the production of micro- and nanostructures with resolutions down to 10-30 nm. Developed in the to overcome the limits of , it uses highly collimated X-ray beams—often generated by sources—to expose the resist through a , followed by to reveal the pattern. This proximity or contact printing method minimizes scattering and reflection effects, allowing for high aspect ratios and precise patterning in thick resists. The process begins with coating a substrate, such as a silicon wafer, with an X-ray-sensitive resist like polymethyl methacrylate (PMMA) or novolac resins, which are chosen for their sensitivity to X-ray wavelengths and resistance to subsequent etching steps. The mask, typically consisting of a thin membrane (e.g., silicon carbide or gold absorbers on a compliant substrate) patterned via electron-beam lithography, is aligned closely to the wafer—often within micrometers—to project the image via X-ray exposure. Exposure times vary based on the source intensity, with synchrotron facilities providing the high flux needed for efficient throughput, while traditional X-ray tubes or laser-produced plasmas are less common due to lower brightness. Post-exposure, the resist is developed chemically, and the pattern is transferred into the substrate through etching or deposition. XRL offers significant advantages over optical methods, including reduced proximity effects from and , enabling sub-100 features with deep focal depths suitable for non-planar surfaces and high-density circuits. It has demonstrated resolutions as fine as 20 in contact mode using flexible membrane , making it valuable for prototyping complex geometries in research settings. However, challenges persist, such as mask distortion from radiation-induced heating, the high cost and infrastructure demands of sources, and the need for specialized resists to mitigate absorption and secondary electron effects. These factors have limited its commercial adoption compared to () lithography, though advancements in mask materials and compact sources continue to enhance its viability. Historically, XRL played a role in early semiconductor scaling, contributing to devices like 64 Mb DRAMs and 0.2 µm CMOS logic through pilot lines in the 1990s. As of November 2025, it finds niche applications in microelectromechanical systems (MEMS), nanophotonics, biomedical devices, and high-aspect-ratio structures via processes like LIGA (lithographie, galvanoformung, abformung). In October 2025, U.S. startup Substrate announced the development of X-ray lithography tools for 2 nm semiconductor nodes, raising $100 million in funding to challenge EUV systems. Ongoing research focuses on integrating XRL with other techniques, such as electron-beam lithography for mask fabrication, to support next-generation nanotechnology.

Overview

Definition and Basic Principles

X-ray lithography (XRL) is a high-resolution patterning technique used in micro- and nanofabrication to transfer intricate patterns from a onto a photoresist-coated . It employs soft X-rays with wavelengths typically ranging from 0.4 to 4 to expose the resist, enabling the production of features smaller than 100 , which is essential for advanced devices and other nanoscale structures. This process has been explored as a high-resolution technique in manufacturing for precise pattern transfer to define circuit elements on wafers. The basic principles of XRL rely on the absorption of X-rays by the material, which induces chemical reactions that modify the resist's in a solution. Soft X-rays penetrate the semi-transparent , composed of an absorbing on a thin , and selectively expose underlying regions of the resist. The short (λ ≈ 1 nm) of these X-rays results in minimal , with the theoretical diffraction limit approximately λ/2, allowing resolutions down to sub-10 nm without the need for complex . In comparison to conventional optical lithography, which operates at wavelengths such as 193 nm for deep ultraviolet systems and is constrained by the Rayleigh criterion for (r ≈ 0.61 λ / ), XRL's much shorter circumvents these limitations, offering inherently higher potential for denser patterning.

Historical Development

X-ray lithography emerged in the early as a potential solution to the resolution limits of optical lithography in semiconductor manufacturing. It was first proposed in 1972 by D. L. Spears and H. I. Smith, who demonstrated its feasibility using soft X-rays generated from sources. Early research efforts were led at institutions like the at and , focusing on proximity printing techniques to achieve sub-micrometer features beyond the capabilities of conventional at the time. Key advancements occurred in the 1980s with the development of dedicated synchrotron storage rings for sources. The National Synchrotron Light Source (NSLS) at began operations in 1982 with its vacuum ultraviolet ring, enabling initial experiments in X-ray lithography and providing high-brightness radiation for pattern transfer. In , the mid-1980s saw the launch of national synchrotron projects, including efforts by NTT and other organizations to integrate X-ray lithography into production lines as part of broader VLSI initiatives. By the late 1980s and into the 1990s, U.S. funded the development of compact superconducting sources, such as the Superconducting X-ray Lithography Source (SXLS) at Brookhaven, aimed at reducing the size and cost of synchrotron-based systems for industrial use. A 1994 SPIE symposium on highlighted ongoing assessments of X-ray lithography's viability for sub-0.25-micrometer nodes, with presentations on process integration and mask technologies. Interest peaked in the 1980s and early 1990s but declined due to the high capital and operational costs of synchrotron facilities, which hindered scalability for high-volume manufacturing. By the late 1990s, the semiconductor industry shifted toward extreme ultraviolet (EUV) lithography, which offered similar resolution potential with more practical projection optics and sources, leading to the last major industrial pushes for X-ray systems around 2000. In the 2000s, research pivoted to niche applications in nanofabrication, such as high-aspect-ratio structures via the LIGA process, rather than mainstream chip production. No commercial high-volume X-ray lithography production was achieved by 2010, as EUV matured for nodes below 45 nm. Renewed interest in the has focused on lithography as a "beyond-EUV" alternative for sub-2-nm features, driven by startups developing compact particle-accelerator-based sources to address EUV's limitations in resolution and cost. Recent proposals include soft X-ray lasers at 6.5–6.7 nm wavelengths for enhanced pattern fidelity in advanced nodes.

System Components

X-ray Sources

from storage rings serves as the primary source for X-ray lithography, generating soft X-rays in the range of 0.5–2 keV through mechanisms such as magnets and wigglers. magnets, typically operating with fields up to 1.5 T in conventional designs or 4 T in superconducting variants, produce a broad spectrum suitable for lithography, with critical wavelengths around 6–10 (0.6–1 ). Wigglers enhance output by increasing the number of radiation poles, boosting photon flux while maintaining the desired spectral characteristics. These sources offer high on the order of 10^{12} photons/s/mm²/mrad²/0.1% and partial spatial , enabling precise patterning with minimal . Plasma-based sources provide compact alternatives to synchrotrons, utilizing laser-produced plasmas (LPP) or capillary discharges to generate soft s. In LPP systems, high-intensity lasers such as KrF excimer or Nd:YAG interact with targets to create hot plasmas emitting in the soft regime, with wavelengths tunable by selecting appropriate gas or solid targets—for instance, targets yield emissions around 1 nm. Capillary discharge sources, involving electrical discharges in gas-filled capillaries, offer similar tunability and reduced complexity compared to large-scale synchrotrons, making them suitable for laboratory-scale setups. These approaches aim to deliver sufficient flux in a smaller footprint, though they generally exhibit lower brightness than . Key parameters for sources in include photon flux exceeding 10^{10} photons/cm²/s to ensure viable throughput for production and a spectral range of 0.7–1.5 , optimized for at the carbon L-edge in resists. Developments in the focused on undulator insertions in storage rings, which improved efficiency by producing narrower, more intense spectral peaks in the soft range, as demonstrated in early projection experiments achieving 0.2-μm features. Demonstrations in the 2020s for compact free-electron lasers (FELs) using laser-plasma accelerators, such as the 2025 experiment at Berkeley Lab achieving intense, stable photon pulses with significant FEL gain, aim to shrink XFEL facilities to meter-scale while supporting applications like advanced through high-gradient electron acceleration. Challenges in X-ray source development for lithography include maintaining long-term stability to avoid fluctuations in output intensity and achieving repetition rates on the order of kHz for high-volume manufacturing compatibility. Synchrotron sources, while bright, require precise beam control to mitigate emittance growth over extended operations, whereas plasma sources face debris and thermal management issues that impact reliability at high rates.

Masks and Resists

In X-ray lithography, serve as the primary pattern-defining elements, consisting of a thin, low-absorbing substrate overlaid with high-contrast absorber patterns. The is typically made from (), with thicknesses ranging from 1 to 2 μm to ensure mechanical support while minimizing attenuation. is the standard absorber material, patterned to thicknesses of 0.5 to 1 μm, providing strong absorption for soft s in the 0.5–2 nm range. These structures achieve greater than 70% through the open areas, enabling efficient of the underlying resist. Fabrication of X-ray masks begins with deposition of the SiC membrane on a silicon wafer support, followed by electron-beam lithography (EBL) to define the absorber patterns with sub-100 nm precision. Gold is then electroplated into the patterned areas, and the wafer is back-etched to release the freestanding membrane. Key challenges include maintaining mechanical stability under high-vacuum conditions and during repetitive exposures, as well as achieving defect-free imaging zones exceeding 100 cm² to support large-scale production. Defects such as pinholes or distortions can propagate errors in pattern transfer, necessitating rigorous quality control. Photoresists for X-ray lithography must exhibit high resolution and contrast to capture fine features down to tens of nanometers. Polymethyl methacrylate (PMMA) remains the benchmark positive-tone resist, offering reliable performance with a sensitivity (D₀, the dose to clear) of approximately 100–500 mJ/cm² for 1 nm wavelengths, depending on exposure conditions and developer chemistry. Alternatives include hydrogen silsesquioxane (HSQ), a negative-tone inorganic resist valued for its ultrahigh resolution and etch resistance in high-aspect-ratio structures. Critical performance metrics for and resists emphasize to ensure sharp pattern delineation. Mask ratios exceed 20:1, achieved by the differential where open areas transmit most X-rays while absorber regions block over 99%, quantified by the transmission equation T = e^{-\mu t}, with \mu as the material's and t as thickness. Resist (\gamma), measuring the sharpness of the exposure-response curve, surpasses 5 for PMMA and HSQ, enabling steep sidewall profiles and minimal blurring at edges. Advancements in the have focused on nanostructured masks to support high-aspect-ratio features beyond 20:1, incorporating techniques like dynamic exposure and multi-mask alignment for complex geometries. Defect inspection has benefited from interferometry, which detects sub-micron flaws in grating structures with phase-sensitive precision, improving yield for applications in nanofabrication and .

Exposure Process

Proximity Exposure Mechanism

In proximity exposure, the core mechanism of X-ray lithography, an absorbing patterned with the desired features is positioned a small distance from the resist-coated to enable shadow printing without physical , thereby avoiding mask damage and contamination common in contact methods. The - is typically maintained at 10-50 μm to balance and mechanical stability, allowing X-rays to penetrate the transparent (e.g., membrane) regions of the mask and expose the underlying in a parallel, one-to-one projection. The exposure setup occurs within a at pressures of approximately $10^{-6} to ensure a clear beam path, as soft s in the 0.4-5 nm wavelength range are readily absorbed by atmospheric gases. Alignment of the mask and is critical and is accomplished using optical or systems, achieving overlay accuracy below 50 nm to support sub-micron feature fidelity. Geometrically, the proximity configuration minimizes unwanted diffraction effects due to the short wavelengths and controlled gap size; blur is approximated by the relation \delta \approx k \sqrt{\lambda g}, where \delta is the resolution limit, \lambda is the X-ray wavelength, g is the gap distance, and k \approx 1.6 is a process-dependent constant, ensuring that diffraction contributes negligibly compared to other factors at gaps under 50 μm. The process flow entails precise mask positioning over the wafer in the exposure station, followed by irradiation for durations ranging from seconds to several minutes based on source flux and resist sensitivity, and concludes with mask retraction prior to subsequent handling. While synchrotron facilities are standard for high-flux exposure, emerging compact X-ray sources using particle accelerators (as developed by startups like Substrate in 2025) promise reduced exposure times and improved throughput without large-scale infrastructure. Throughput is constrained by exposure and alignment times but can reach about 10 wafers per hour in synchrotron-based systems optimized for production-scale operation. This non-contact approach uniquely enables the fabrication of structures with aspect ratios exceeding 10:1, facilitating applications requiring deep, high-fidelity patterns that are difficult to achieve with contact-based techniques due to distortion and wear.

Pattern Transfer and Development

Following exposure, the latent image in the resist is converted into a visible pattern through development processes that selectively remove or alter the exposed or unexposed regions, depending on the resist tone. For positive-tone resists such as poly(methyl methacrylate) (PMMA), commonly used in X-ray lithography, wet chemical development employs solvents like a 1:3 mixture of methyl isobutyl ketone (MIBK) and isopropyl alcohol (IPA), causing the exposed areas to swell and dissolve due to chain scission induced by X-ray absorption. This process typically occurs at room temperature for 1-2 minutes, yielding high-resolution patterns with minimal undercutting when optimized for aspect ratios exceeding 10:1. Dry plasma development, involving reactive ion etching in oxygen or other gases, is an alternative for plasma-polymerized resists, enabling self-development after X-ray exposure without wet chemicals and reducing swelling artifacts in sensitive structures. The developed resist pattern then acts as an etch mask for transferring the features to the underlying . Reactive ion etching (RIE), often using fluorine-based chemistries like SF6/O2 for , anisotropically etches the while preserving the resist-defined geometry, routinely achieving aspect ratios up to 20:1 with depths of several micrometers. This step ensures faithful replication of mask patterns, with etch selectivity between resist and typically exceeding 10:1 to maintain pattern integrity during prolonged etching. Dose control is critical for complete pattern clearing, with typical critical doses for PMMA around 100-1000 mJ/cm² depending on thickness and , guiding overexposure margins of 20-50% to account for variations in resist thickness and photon flux uniformity. Key quality metrics for the transferred patterns include line edge roughness (LER) below 2 nm, enabled by the minimal in exposure, and (CD) uniformity across the on the order of 1 nm or better, achieved through parallel illumination that minimizes radial dose gradients. To handle thicker films (>1 μm) required for high-aspect-ratio , multilayer resist stacks—such as trilayer systems with a thick bottom planarizing layer, intermediate imaging layer, and thin top X-ray-sensitive layer—are integrated, improving and transfer. For non-etch applications like metallization, lift-off processes dissolve the resist post-deposition, selectively removing overlayers while leaving patterned metals such as or aluminum on the substrate.

Physical Mechanisms

X-ray Absorption and Photoelectron Generation

In X-ray lithography, the primary mechanism for X-ray absorption in photoresist materials occurs through the photoelectric effect, which dominates at soft X-ray energies ranging from 0.3 to 5 keV. This process involves an incident X-ray photon interacting with a bound inner-shell electron in an atom of the resist, transferring its energy and ejecting the electron while the photon is completely absorbed. Inner-shell ionizations, such as those at the K-edge of carbon (approximately 284 eV) or oxygen (543 eV), play a key role in determining absorption efficiency, particularly in organic polymer resists like polymethyl methacrylate (PMMA). The ejected primary photoelectron carries kinetic energy given by E_k = h\nu - E_b, where h\nu is the photon energy and E_b is the binding energy of the ionized electron. For typical soft X-ray photons in lithography (e.g., 0.5–2.5 keV), this results in photoelectron kinetic energies of several hundred eV to a few keV, leading to ranges of approximately 10–100 nm within low-density organic resists, as determined by Monte Carlo simulations of energy deposition. These photoelectrons initiate chemical changes in the resist by breaking molecular bonds, but their limited range contributes to pattern blur at sub-10 nm scales. The quantum efficiency of photoelectron generation, which represents the fraction of incident photons absorbed via the , is approximated as \eta \approx \frac{\mu_a}{\mu_a + \sigma_s}, where \mu_a is the linear absorption coefficient and \sigma_s is the cross-section; at soft energies in light-element resists, (e.g., Compton) is minimal, yielding high efficiencies near . The spatial distribution of absorbed follows the Beer-Lambert : I(z) = I_0 e^{-\mu z}, where I(z) is the at depth z and \mu is the total linear , enabling uniform exposure over resist thicknesses of several micrometers. Material composition significantly influences ; oxygen-rich resists exhibit higher \mu near the oxygen K-edge due to increased photoelectric cross-sections, enhancing at tuned wavelengths. Wavelength selection, such as 0.83 nm (≈1.5 keV), minimizes substrate (e.g., in , below its K-edge at 1.84 keV) while optimizing resist exposure above key atomic edges like carbon's. Compared to , X-ray allows deeper penetration (micrometers versus nanometers) for thicker resists and high-aspect-ratio features, though the initial photoelectron-mediated chemistry remains analogous in triggering bond scission.

Secondary and Auger Electrons

Secondary electrons are generated in the resist material through processes involving primary photoelectrons produced by absorption. These low-energy electrons, typically with energies below 50 , arise from a where each primary photoelectron can produce a δ of approximately 0.1 to 1 secondary electrons. This varies with the of the incident electrons and is empirically approximated by the \delta = k E^{0.5}, where k is a material-dependent constant and E is the primary . Auger electrons, in contrast, are characteristic electrons emitted during the relaxation of ionized atoms following core-level . In organic resists, prominent examples include carbon Auger electrons peaking around 270 eV, resulting from the filling of a 1s vacancy with the excess ejecting a . Their spectrum features distinct peaks corresponding to atomic relaxation processes, distinguishing them from the broader distribution of . Monte Carlo simulations have been employed to model the production and trajectories of both secondary and Auger electrons, revealing their spatial distribution within the resist volume. These electrons contribute significantly to the lithographic by inducing and events that lead to chemical changes in the resist, such as chain scission in positive-tone polymers. , in particular, account for approximately 80% of the total in the resist due to their high yield and multiple scattering interactions. This dominance arises from the cascade nature of their generation, amplifying the initial photoelectron deposition. Auger electrons, while fewer in number, provide targeted inputs at specific depths due to their higher energies. The generation of secondary and electrons is particularly pronounced in low-atomic-number (low-Z) materials like organic resists, where photoelectric absorption efficiently produces energetic primaries that subsequently spawn cascades. Unlike direct interactions, these electrons exhibit negligible effects, enabling sharper pattern transfer limited primarily by scattering ranges rather than wave .

Lithographic Electron Range

The electron range in a lithographic resist quantifies the distance over which photoelectrons and secondary electrons propagate before depositing their energy, primarily through inelastic scattering and ionization. This range is approximated by the continuous slowing down approximation (CSDA) derived from the Bethe energy loss formula, with a practical expression for the primary range given by R_p \approx 0.04 E^{1.75} / \rho μm, where E is the initial electron energy in keV and \rho is the material density in g/cm³. This formula captures the scaling of penetration depth with energy while accounting for material dependence, showing shorter ranges in denser resists due to increased scattering probability. The lithographic electron range extends this concept to the effective spatial blur induced in the resist pattern, often estimated as 3–5 times the mean free path of the electrons, leading to typical values of 10–50 nm for 1 keV electrons in polymethyl methacrylate (PMMA), a common positive-tone resist. This blur arises from the isotropic nature of electron emission and subsequent scattering, which spreads the energy deposition laterally and vertically, degrading edge sharpness. Monte Carlo simulations, such as those implemented in Geant4, model these 3D electron trajectories and energy distributions, revealing that forward scattering predominates in low-energy regimes relevant to X-ray lithography, with most energy deposited within a forward-peaked cone rather than uniform diffusion. The lithographic electron range directly constrains achievable by limiting the minimum feature size to roughly $2R_p, as blurs patterns on both sides of exposed edges; for instance, in PMMA under soft exposure, this sets a practical limit around 100 nm without mitigation strategies. The range's dependence on further favors low-density resists to minimize , though high-Z additives can sometimes enhance at the cost of increased . Experimental measurements of this range involve (SEM) profiling of isolated lines in developed resist structures, where linewidth broadening relative to dimensions infers the extent; data from the 2020s indicate effective ranges below 20 nm in ultrathin resists (<50 nm thick), enabled by reduced volume.

Challenges and Limitations

Charging Effects

In X-ray lithography, charging effects primarily stem from the generation and emission of photoelectrons and during exposure of the resist and . When are absorbed, they eject photoelectrons from the material, triggering a cascade of low-energy that can escape the surface more readily than they are absorbed or recaptured, resulting in a net positive charge buildup if the total exceeds . This , encompassing emission (δ) and photoelectron/backscattered components (η), often surpasses 1 for insulating resists under typical soft wavelengths, leading to electrostatic charging on the surface. The resulting surface potential V can be approximated as V ≈ (dose × area × × (σ - 1)) / , where σ = δ + η is the total , is the , and is the system's , though exact values depend on material properties and geometry. The positive charging induces an that distorts the trajectories of generated electrons within the resist, causing placement shifts and nonuniform across the . These distortions can lead to lateral displacements of up to 100 in features, particularly in high-resolution , as the field retards or redirects low-energy electrons, altering local dose absorption and blurring edges. Insulating substrates exacerbate the effect by limiting charge dissipation, while thinner resists (e.g., below ) mitigate it somewhat by reducing the volume for charge accumulation, though complete elimination remains challenging without additional measures. Early studies reported surface potentials on the order of tens of volts under typical , contributing to overlay errors in early proximity systems. Mitigation strategies focus on enhancing charge neutralization and dissipation to maintain pattern fidelity. Applying a thin conductive layer, such as a 10 nm carbon on the resist or , provides a pathway for charges to dissipate to ground, significantly reducing potential buildup. Operating in a ambient (e.g., at low pressures like 50 mTorr) facilitates neutralization by ionizing the gas to supply ambient electrons that compensate the positive charge without substantial attenuation. Pulsed exposure modes, inherent to sources, allow intermittent charge relaxation between pulses, further minimizing accumulation. Modern designs incorporating these approaches achieve surface potentials below 5 V, enabling sub-50 nm features with minimal distortion.

Mask Heating and Distortion

A significant challenge in X-ray lithography is mask heating due to absorption of X-rays in the structure, particularly the absorbers and . sources deliver high flux, leading to temperature rises of up to 100-200°C in seconds, causing and of the . This results in overlay errors of 10-50 nm across the field, limiting throughput and for dense patterns. Thin membranes (e.g., or carbide, 1-2 μm thick) are used to minimize , but still experience bimetallic effects from differential heating between absorber ( or ) and materials. Mitigation includes cooling the mask with flow or integrating microchannels for liquid cooling, reducing temperature gradients to below 10°C. Advanced mask designs with low-absorbing materials and stress-compensated structures help maintain flatness. Despite these, mask lifetime is limited to thousands of exposures before replacement, contributing to high costs.

Resolution and Proximity Effects

In X-ray lithography using proximity , is fundamentally limited by proximity effects, which encompass blurring from the penumbral shadow due to X-ray at the mask edges and of photoelectrons and within the resist. The penumbral shadow arises from the finite gap between the mask and , causing geometric penumbra from source incoherence and spreading, while electron deposits energy over a finite range, leading to . These effects degrade , particularly for sub-100 nm features, as the X-rays generate low-energy electrons that travel tens of nanometers before dissipating. The diffraction contribution to blur is approximated by the term \lambda g / d, where \lambda is the X-ray wavelength, g is the mask-wafer gap, and d is the mask feature size; this represents the angular spread of diffracted rays projected over the gap. For typical soft X-ray wavelengths around 1 nm, the diffraction limit remains minimal at gaps below 20 \mum, as higher-order diffraction contributions become negligible compared to the primary beam, allowing sharp shadow edges for features down to 50 nm. Electron scattering adds a blur on the order of $2 R_e, where R_e is the lithographic electron range (typically 10–30 nm for 1 nm wavelengths), combining with diffraction in a root-sum-square manner to yield the total resolution \delta_\text{total} \approx \sqrt{ \left( \frac{\lambda g}{d} \right)^2 + (2 R_e)^2 }. This formulation captures the quadrature addition of independent blurring mechanisms, with electron range dominating at small gaps and diffraction becoming prominent at larger separations. Electron proximity effects manifest as intra-feature scattering, which broadens individual lines, and inter-feature scattering, causing dose nonuniformity where dense patterns receive excess exposure from backscattered electrons from neighboring areas. These nonuniformities can lead to linewidth variations of 10–20% in high-density layouts without correction. Proximity effect correction (PEC) algorithms mitigate this by computationally adjusting the mask absorber thickness or pre-distorting patterns to equalize deposited energy, similar to methods in but adapted for flood exposure in X-ray systems; such corrections have enabled uniform patterns over large fields. Overall resolution limits in proximity X-ray lithography have reached below 50 in settings using soft X-rays, with demonstrations of 30 lines in or small-gap modes, and 170 features at gaps up to 35 \mum. Theoretical limits in are on the order of 10-20 , primarily constrained by the intrinsic electron range. Compared to , X-ray proximity offers superior throughput due to parallel wafer exposure but lags behind (EUV) lithography in production scalability, as EUV benefits from more mature sources and for sub-10 nodes.

Applications and Future Prospects

Industrial and Research Applications

In the industrial domain, X-ray lithography has primarily been employed for prototyping advanced devices, particularly during the when demonstrated its capability for fabricating complex patterns at 100 nm ground rules, including 75-125 nm features in logic and static random access memory-like structures. Currently, its industrial applications are niche, focusing on microelectromechanical systems () fabrication where it excels in producing high-aspect-ratio structures, such as precision mechanical components and microactuators with aspect ratios up to 1000:1 and heights of several millimeters. The LIGA process, originating in in the 1980s at the Karlsruhe Research Center, represents a key example of this application, enabling the production of microsystems like gears, dies, and surgical instruments through deep X-ray lithography combined with and molding. In research settings, X-ray lithography supports advanced nanofabrication, particularly for creating high-resolution gratings used in X-ray interferometry for , , and material inspection, with demonstrated 200 nm period multilayer gratings. It is also applied in for fabricating diffractive and refractive , such as spectrometers with sub-micrometric features over centimeter-scale areas for UV-visible sensing. Biomedical research leverages the technique for (bio)sensors, lab-on-chip devices, and microneedle arrays, often via LIGA for precise polymer and metal components in implants and fluidic systems. Research, including maskless and interference variants, has demonstrated resolutions of 15-18 nm half-pitch in inorganic resists. A hallmark advantage in these applications is the ability to achieve vertical sidewalls with less than 0.1 µm per 100 µm thickness and support thick resists up to 1 mm, such as pre-cast PMMA sheets, enabling high-fidelity pattern transfer in high-aspect-ratio structures for and . In environments, throughput typically ranges from 1-10 wafers per hour for 4-8 inch wafers, depending on beamlines and resist sensitivity, with exposure times of a few minutes per scan for sub-micrometer features. Optimized research setups benefit from submicron accuracy and , facilitated by low-capital and replication methods that support small-batch fabrication.

Advancements and Comparisons to Modern Techniques

In the 2020s, significant advancements in lithography (XRL) have focused on developing compact light sources to reduce reliance on large facilities, enabling more accessible nanofabrication. For instance, U.S. startup has introduced a particle-accelerator-based system that generates intense, short-wavelength pulses, achieving critical dimensions of 12 nm and tip-to-tip spacing of 13 nm with overlay accuracy below 1.6 nm. This compact approach contrasts with traditional synchrotron-dependent setups and promises resolutions surpassing current (EUV) tools. Additionally, progress in high-aspect-ratio (AR) nanostructures has been notable, with interference lithography (XIL) enabling the fabrication of nanopillars with aspect ratios exceeding 20:1 and half-pitches as low as 18 nm using inorganic resists. These developments highlight XRL's resurgence in creating complex 3D structures like microneedles and micromirrors via dynamic exposure and multiple-mask techniques. Emerging hybrid approaches combining XRL with EUV elements aim to push resolutions below 5 nm by leveraging soft wavelengths (around 6.5–6.7 nm) for finer patterning while integrating EUV-compatible resists and . These innovations address longstanding limitations in and roughness, with line roughness below 1 nm reported in recent compact XRL prototypes. Looking ahead, XRL holds potential for revival in 1 nm nodes by 2030, particularly if (FEL) sources scale to provide ultrabright, coherent beams at wavelengths below 1 nm, enabling sub-nanometer features without multi-patterning complexities. In nanofabrication for quantum devices, XRL excels in producing high-AR nanostructures essential for superconducting circuits and sensors, offering radiation-assisted synthesis for precise patterning. Throughput remains a compared to EUV, with XRL typically slower due to lower source brightness. Compared to EUV lithography, which dominates high-volume manufacturing in 2025 with 13.5 nm wavelength, reflective multilayer optics, and tools costing over $100 million, XRL provides simpler transmission masks that avoid pellicle complexities and offer a "resolution reserve" for denser patterns without facility upgrades per node. However, XRL's historical dependence on synchrotron or FEL sources limits scalability, though compact accelerators like Substrate's could cut costs to one-tenth of EUV while targeting $10,000 per wafer versus EUV's $100,000 trajectory. Versus nanoimprint lithography, XRL is superior for non-periodic, high-AR patterns in quantum and biosensor applications, as nanoimprint struggles with defectivity in irregular layouts and lacks XRL's depth-of-field advantages for 3D nanofabrication. These contrasts position XRL as a complementary technique for specialized sub-5 nm niches beyond EUV's broad adoption.

References

  1. [1]
    Advancements in Lithography Techniques and Emerging Molecular ...
    X-ray lithography (XRL) involves transferring patterns to a wafer substrate using a mask and a highly collimated X-ray beam. An X-ray radiation system comprises ...
  2. [2]
  3. [3]
  4. [4]
    [PDF] X-Ray Nanolithography - MIT
    X-ray NanoLithography (XNL) is a reliable and simple means of replicating patterns with feature sizes down to about 20 nm. Typically, the X-ray mask is made ...
  5. [5]
    X-Ray Lithography
    X-Ray lithograpy is a variation of light lithography tecniques using short wavelength X-Rays. The substrate, coated with a x-ray resist, is exposed to a source ...
  6. [6]
  7. [7]
    X-Ray Lithography - an overview | ScienceDirect Topics
    X-ray lithography uses x-rays, characterized by a wavelength of 0.4–4 nm, usually generated by a synchrotron source, to expose a resist-coated sample through a ...
  8. [8]
    Principles of Lithography, Second Edition | (2005) | Levinson - SPIE
    Feb 14, 2005 · This book is intended to serve as an introduction to the science of microlithography for people who are unfamiliar with the subject.
  9. [9]
  10. [10]
    Wavelength considerations in soft-x-ray projection lithography
    We have determined that there are several discrete wavelength regions between 10 and 25 nm that satisfy the system-imposed constraints of high resolution, large ...
  11. [11]
    [PDF] Optical lithography : here is why / Burn J. Lin. - SPIE
    which leads to the relationship of diffraction-limited resolution to wavelength and aperture size, followed by the relationship of depth of focus (DOF) to ...
  12. [12]
    [PDF] Demagnifying X-Ray Lithography - TIB
    The exposure dose is plotted on a logarithmic scale. ... The absorption coefficient µ is calculated from tabulated values for the mass absorption coefficient µ ρ.
  13. [13]
    X-ray lithography in IBM, 1980-1992, the development years
    May 1, 1993 · The IBM X-ray lithography research and development program is outlined, from a personal perspective, covering the period from the inception ...Missing: SUNY Albany 1972
  14. [14]
    National Synchrotron Light Source - Wikipedia
    History. edit. Ground was broken for the NSLS on September 28, 1978. The VUV ring began operations in late 1982 and the X-ray ring was commissioned in 1984.
  15. [15]
    [PDF] X-Ray Lithography In Japan - DTIC
    The synchrotron project was started in the mid-1980s, and a comprehensive plan was devised to develop all aspects of the technology. A completely new laboratory ...Missing: SRJ | Show results with:SRJ
  16. [16]
    The DARPA compact superconducting x-ray lithography source ...
    Jan 1, 1991 · Under DARPA sponsorship, a compact Superconducting X-ray Lithography Source (SXLS) is being designed and built by the Brookhaven National ...Missing: funding | Show results with:funding
  17. [17]
    X-ray lithography processing at CXrL from beamline to quarter ...
    Access SPIE's growing collection of conference proceeding papers from around the globe. Browse by the latest conferences or optics-based technology.
  18. [18]
    X-ray lithography: On the path to manufacturing
    This paper presents IBM's recent successful development of a synchrotron-radiation-based x-ray lithography system. The individual components of the system are ...
  19. [19]
    Why EUV Is So Difficult - Semiconductor Engineering
    Nov 17, 2016 · But X-ray litho was too expensive and ultimately failed in the 1980s. Then, X-ray lithography morphed into something called soft X-ray, or EUV.Missing: decline 1990s
  20. [20]
    Frontiers | X-Ray Lithography for Nanofabrication: Is There a Future?
    ### Summary of X-ray Lithography from Frontiers Article
  21. [21]
    [PDF] X-Ray Lithography towards 15 nm - Jefferson Lab
    Jan 24, 2003 · Near-field x-ray lithography (NFXrL) is a variation of XRL in which printing is done in the near rather than far field, with demagnification of ...Missing: nanofabrication | Show results with:nanofabrication
  22. [22]
    US startup Substrate announces chipmaking tool that it says will ...
    Oct 28, 2025 · Substrate said that it has developed a version of lithography that uses X-ray light and is capable of printing features at resolutions that are ...
  23. [23]
    [News] Researchers Propose “Beyond EUV” Using Soft X-Rays ...
    Sep 22, 2025 · The method employs 6.5–6.7nm soft X-ray lasers, which the report notes could enable resolutions down to 5nm and below. To put this into context, ...
  24. [24]
    [PDF] X-Ray Lithography Sources: A Review - JACoW
    Synchrotron radiation from dipole magnets in electron storage rings has emerged as a useful source of x-rays for lithog- raphy. To meet the need for these ...
  25. [25]
    [PDF] Design of a 1.2 GeV Synchrotron Light Source for X-Ray ... - JACoW
    Useful X-ray wavelength for semiconductor lithography is 6 ~14 Å. The conventional magnet technology is able to generate the bending magnetic field of 1.5 T ...Missing: key range
  26. [26]
    X-ray lithography using a KrF laser–plasma source
    It is well known from laser–plasma interaction physics[6] that soft x-rays can be generated a factor of ∼10 more efficiently from plasmas produced by a laser at ...
  27. [27]
    Laser-produced plasma soft x-ray source based on an aerosol target
    Jul 7, 2020 · Laser produced plasma (LPP) soft x-ray and extreme ultraviolet sources utilize various types of targets. Some of them are based on gaseous ...
  28. [28]
    Soft-x-ray projection lithography: printing of 0.2-μm features using a ...
    We used undulator radiation from a synchrotron storage ring and a Schwarzschild-type objective with iridium-coated optics to record features as small as 0.2 μm ...
  29. [29]
    Researchers Make Key Gains in Unlocking the Promise of Compact ...
    Aug 5, 2025 · Researchers have built a compact X-ray free-electron laser (XFEL) using laser plasma accelerators to generate and sustain high-quality electron ...Missing: 2020s | Show results with:2020s
  30. [30]
    None
    ### Challenges in X-ray/EUV Sources: Stability and Repetition Rate
  31. [31]
    Advances in lithographic techniques for precision nanostructure ...
    Dec 11, 2023 · Examples of materials for x-ray masks include transmission materials such as silicon, silicon carbide and silicon nitride, and an absorber ...
  32. [32]
    X-Ray Mask - an overview | ScienceDirect Topics
    An X-ray mask is defined as a crucial element in X-ray lithography, typically made of a thin silicon carbide membrane covered with a metallic pattern, ...
  33. [33]
  34. [34]
    Challenges and progress in x-ray lithography - IBM Research
    Jan 1, 1998 · X-ray lithography (XRL) is a very promising technique with the potential to be available for integrated circuit manufacturing as early as ...
  35. [35]
    [PDF] Product information E-BEam REsists - Allresist EN
    Novolac-based e-beam resists are about twice as resistant during plasma etching as PMMA resists and are used for the generation of structures with e-beam ...
  36. [36]
  37. [37]
    Hydrogen silsesquioxane as a high resolution negative-tone resist ...
    Jan 5, 2005 · As a photoresist for EUV lithography, HSQ has a contrast that is comparable to that of PMMA; however, they are inferior to the contrast of the ...
  38. [38]
    [PDF] “4“ I P - OSTI
    The mask is patterned with an absorber such that excellent contrast is produced; typically no more than 0.1% of the x-ray beam is transmitted through the ...
  39. [39]
    [PDF] X-ray absorption - School of Physics
    The intensity decreases exponentially with the distance travelled (Fig. 3), or I(t) = I0 e−µt, where I0 is the initial x-ray beam intensity. This.
  40. [40]
    2.5.1 Contact and Proximity Printing - IuE
    The achievable resolution is governed by the expression. $\displaystyle W = k\sqrt{\lambda d_g},$, (2.5). whereby dg denotes the mask-to-wafer distance, $ \ ...
  41. [41]
    X-Ray Lithography For Integrated Circuits - A Review
    Synchrotron radiation shows the greatest promise for volume production of IC's with submicron patterns, but the application must be proved to justify investment ...
  42. [42]
  43. [43]
    [PDF] Low stress development of poly„methylmethacrylate… for high ...
    The resist cross section shown in Fig. 2 is the result of developing a 2 ␮m thick film of PMMA in 1:9. MIBK:IPA for 10 min. This ...
  44. [44]
    Plasma‐Polymerized Dry‐Developable Resist for Synchrotron ...
    The plasma‐polymerized resists were exposed to synchrotron radiation (SR) and developed by self‐development combined with reactive ion etching to give a ...
  45. [45]
    Ultra-high aspect ratio high-resolution nanofabrication for hard X-ray ...
    Jun 27, 2014 · Advanced etchign of silicon based on deep reactive ion etching for silicon high aspect ratio microstructures and three-dimensional micro- and ...Introduction · Results · High-Resolution Zone Plates
  46. [46]
    Towards the Fabrication of High-Aspect-Ratio Silicon Gratings by ...
    Sep 18, 2020 · A high etching rate with low aspect ratio dependence is crucial for higher X-ray energy applications and good profile control by deep reactive ion etching of ...
  47. [47]
    [PDF] Tunable Focused X-rays For Patterning and Lithography - MacSphere
    ... X-ray lithography,” Appl. Phys. A 103, 1-11. (2011). [4] Coffey, T ... fluence, and no further decrease beyond a certain fluence. Schrempel et al ...
  48. [48]
    Approaching Angstrom-Scale Resolution in Lithography Using Low ...
    Aug 20, 2024 · In both of these patterning techniques, the line-width roughness was found to be ≤2 nm, a record low value for any resist platform, also leading ...
  49. [49]
    Characterization of AZ PN114 resist for soft-x-ray projection ...
    For soft-x-ray projection lithography the approximate 0.2-µm absorption length in resists at 14-nm wavelength necessitates a multilayer resist system. To ...
  50. [50]
    [PDF] Lift-off Processes with Photoresist - MicroChemicals
    The subsequent actual lift-off removes the resist structures together with the material deposited thereon, while the material applied directly to the substrate ...
  51. [51]
    Soft X-ray absorption and fragmentation of tin-oxo cage photoresists
    Jan 24, 2024 · Therefore, we explore X-ray absorption spectroscopy at the carbon and oxygen K-edges for the analysis of thin films of tin-oxo cages, and we ...
  52. [52]
    Modelling photoelectron effects in X-ray Lithography
    Dec 1, 1993 · ... X-rays within an energy range of 0.5 KeV to 2.5 KeV. We discuss the effects of the the redistribution of the photoelectron kinetic energy as ...
  53. [53]
  54. [54]
    Energy deposition and transfer in electron-beam lithography
    Nov 1, 2001 · Analysis shows that 80% of the exposure events are directly caused by secondary electrons for 100 keV primary electron energy. The number of ...
  55. [55]
    Electron‐ and X‐ray‐induced electron emissions from insulators ...
    ... X-ray lithography (XRL). The present setup is ... Data, such as the magnitude and energy of the maximum secondary yield ... secondary yield coefficient, computed ...
  56. [56]
    Monte Carlo modeling of the photo and Auger electron production in ...
    Sep 30, 1985 · Abstract: A Monte Carlo model has been constructed for the photo and Auger electron production in X-ray lithography with synchrotron radiation.<|control11|><|separator|>
  57. [57]
    Effects of Photoelectrons and Auger Electrons on Contrast and ...
    A polymer film coating on the Au absorber pattern increases the contrast of the mask, because electrons from the X-ray mask are absorbed by the polymer film.
  58. [58]
    Resolution limitation of x-ray proximity lithography
    In this study, these two effects are discussed both experimentally and theoretically from the viewpoint of the resolution limit. In the secondary electrons, ...
  59. [59]
    Resolution limits in x‐ray lithography - AIP Publishing
    Nov 1, 1992 · The component of resolution arising from electron range is often ... (January 1966). Improvement of resolution in x-ray lithography by reducing ...
  60. [60]
    [PDF] Experimental Characterization and Physical Modeling of Resolution ...
    The best x-ray wavelength to use for a given application will depend upon the type of membrane used, the size of the mask-to-substrate gap, the required resist.
  61. [61]
    A Monte Carlo study of the primary absorbed energy redistribution in ...
    A Monte Carlo study of the primary absorbed energy redistribution in X-ray lithography. Technical Paper; Published: 10 November 2013. Volume 20, pages 1881– ...
  62. [62]
    (PDF) Measurements, simulations, and models of the point-spread ...
    Jun 26, 2025 · ... electron range and. scattering in high voltage e-beam lithography. J. Vac. Sci. Technol. B 3, 174 (1985). [10] Jones, G. A. C., Blythe, ...
  63. [63]
    Charge Catastrophe and Dielectric Breakdown During Exposure of ...
    Dec 28, 2017 · x-ray photons releases photoelectrons, followed by a cascade of lower energy secondary electrons with energies down to 0 eV. While these low ...Missing: formula | Show results with:formula<|control11|><|separator|>
  64. [64]
    [PDF] Study of Line Edge Roughness and Interactions of Secondary ...
    Jul 9, 2017 · With matched exposure latitudes and roughly equal absorption count however, e-beam lithography resulted in mean. LER values larger by about 1 nm ...
  65. [65]
    How to Combat Electric Charge Buildup in Scanning Electron ...
    Lower accelerating voltages mitigate this electric charge buildup on the surface by increasing the share of both backscattered electrons and secondary ...Missing: lithography helium
  66. [66]
    Electron-Beam, X-Ray, and Ion-Beam Lithographies VI | (1987 ...
    ... X-Ray Lithography. Elizabeth Tai, Bernard Fay ... helium at 50 mTorr. In this paper, we describe ... neutralization, gas phase material deposition and ...
  67. [67]
    None
    Nothing is retrieved...<|separator|>
  68. [68]
    EUV Lithography: Comparative Study with Soft X-ray Lithography
    Oct 14, 2025 · Soft X-ray lithography, operating in the 1-10nm wavelength range, faces even greater technical barriers. Source technology is significantly ...
  69. [69]
    X-ray lithography for ≤100 nm ground rules in complex patterns
    Jan 1, 1997 · Complex patterns with 75-125 nm feature sizes exposed with x-ray lithography are shown. Lithographic results for 75-125 nm lines with ...
  70. [70]
    [PDF] Deep x.ray lithography for micromechanics
    The resulting low-strain bonded PMMA layer process has been responsible for stabilizing the entire deep x-ray lithography process. Minimum design rules have ...<|control11|><|separator|>
  71. [71]
    [PDF] Soft X-Ray Lithography for High-Aspect Ratio Sub-Micrometer ... - LSU
    The calculated data also demonstrates that the increased exposure dose for the mr-X resist is reasonable and will result in approx. 5 min exposure time for ...
  72. [72]
    Wafer scale manufacturing of high precision micro-optical ... - Nature
    Feb 17, 2022 · We present a novel x-ray lithography based micromanufacturing methodology that offers scalable manufacturing of high precision optical components.
  73. [73]
    X-ray lithography system has potential to surpass ASML's EUV ...
    Oct 31, 2025 · A U.S. startup is developing a compact particle-accelerator-based X-ray lithography system that could surpass ASML's EUV scanners in ...
  74. [74]
    FELs and the Future of Lithography - Optics & Photonics News
    Nov 1, 2025 · Ultrabright, efficient free-electron lasers promise the power to increase throughput and extend lithography toward sub-nanometer scales.Missing: 2030 | Show results with:2030
  75. [75]
    [PDF] Chapter 5: Lithography
    Lithography is the process of transferring patterns of geometric shapes in a mask to a thin layer of radiation-sensitive material (called resist) covering ...