Fact-checked by Grok 2 weeks ago

Extreme ultraviolet

Extreme ultraviolet (EUV), also known as soft or XUV radiation, is a portion of the spanning wavelengths from approximately 10 to 124 nanometers, bridging the gap between conventional and X-rays. This range corresponds to energies between about 10 and 124 electronvolts, making EUV photons highly energetic yet distinct from harder X-rays due to their longer wavelengths and differing interaction mechanisms with matter. EUV is characterized by extreme in air and most materials, primarily due to photoionization processes, which limits its propagation to conditions and requires specialized like multilayer mirrors for and focusing. In practical applications, EUV has revolutionized fabrication through (EUVL), where radiation at a precise of 13.5 nanometers is used to pattern nanoscale features on wafers, enabling the production of advanced microchips with resolutions below 5 nanometers. Sources for EUV in typically involve laser-produced plasmas from tin droplets, generating high-power, coherent beams that surpass the limitations of previous deep ultraviolet techniques. Beyond manufacturing, EUV is indispensable in for observing hot plasmas in stellar coronas, solar activity, and interstellar media, as demonstrated by missions like the Extreme Ultraviolet Explorer, which mapped celestial EUV sources to study high-energy phenomena invisible at longer wavelengths. Instruments such as the Extreme-ultraviolet Imaging Spectrometer (EIS) on the Hinode solar observatory further utilize EUV to probe and coronal mass ejections, providing critical data on impacts.

Definition and Characteristics

Wavelength and Energy Range

Extreme ultraviolet (EUV) radiation refers to electromagnetic waves in the spectral region spanning wavelengths from 10 nm to 121 nm. This range positions EUV between the longer-wavelength (VUV) and the shorter-wavelength soft X-rays. In some classifications, the upper boundary extends slightly to 124 nm to mark the transition to soft X-rays. The exact boundaries can vary slightly by context, with some sources extending the upper limit to 124 nm. The corresponding photon energies for EUV radiation fall between approximately 10 eV and 124 eV. These energies are calculated using the formula E = \frac{hc}{\lambda}, where E is the photon energy, h is Planck's constant, c is the speed of light, and \lambda is the wavelength. For instance, at the long-wavelength end near 121 nm, the energy is about 10.25 eV, while at 10 nm it reaches 124 eV. The designation "extreme ultraviolet" historically arose from its location at the short-wavelength extreme of the spectrum, beyond the VUV range (typically 10–200 nm) and approaching energies, with the 121 nm limit tied to the Lyman-alpha emission line at 121.57 nm. The 121 nm limit is conventionally tied to the Lyman-alpha emission line at 121.57 nm in astrophysical contexts. Due to its high absorption by atmospheric gases, EUV propagation requires conditions.

Physical Properties

Extreme ultraviolet (EUV) , spanning wavelengths from 10 to 121 nm, exhibits strong by air and most common materials due to its high energies, which exceed the potentials of many species, necessitating propagation in or inert gases such as to minimize attenuation. This arises primarily from molecular oxygen and in the atmosphere, rendering EUV unsuitable for through standard optical paths without specialized environments. Reflecting EUV radiation poses significant challenges because single-layer mirrors exhibit near-zero reflectivity at these wavelengths; instead, multilayer coatings, such as (Mo/Si) stacks optimized for 13.5 nm, achieve approximately 70% normal-incidence reflectivity through constructive . These coatings typically consist of 40 bilayers with a period of about 6.9 nm, though imperfections like interface roughness and surface oxidation limit performance below theoretical maxima. Interactions of EUV photons with matter are predominantly governed by the , where photon energies (10–120 ) surpass inner-shell thresholds for light elements, leading to electron ejection and subsequent processes rather than elastic scattering mechanisms like . This dominance occurs because EUV energies fall well below the threshold for (typically >100 keV), ensuring most interactions result in complete photon absorption. EUV photons deposit their energy efficiently as heat within the top atomic layers of materials, often localizing thermal effects due to the short and rapid energy transfer via photoelectrons and secondary cascades. The penetration depth in solids is typically less than 1 μm, contrasting sharply with longer wavelengths that penetrate deeper, which underscores the need for precise in EUV applications.

Sources of EUV Radiation

Natural Sources

The primary natural source of extreme ultraviolet (EUV) radiation is the solar corona, where temperatures exceeding 1 million ionize atoms to high states, producing emission lines from highly ionized species such as iron and . Prominent examples include the Fe IX line at 17.1 nm, formed at around 0.8–1 million , and the He II line at 30.4 nm, originating from the chromosphere-corona transition region at temperatures of about 80,000 . These emissions arise from collisional excitation in the low-density, magnetically confined of the corona. Beyond , EUV radiation emanates from other astrophysical environments with hot plasmas. Hot stellar coronae, analogous to the solar case, emit EUV from highly ionized atoms in active stars like cool dwarfs and giants, where magnetic activity drives coronal heating. Active galactic nuclei (AGN) and quasars produce EUV through processes around supermassive black holes, contributing to the cosmic EUV background via thermal emission from high-temperature regions. Planetary magnetospheres, such as Jupiter's, generate EUV aurorae from interactions between particles and atmospheric gases, exciting emissions in lines like He II. EUV intensity from the solar corona varies with solar activity, peaking during when enhanced and flaring increase emission by factors of 2–10 across key lines. For , and NOAA observations indicate the maximum phase began in late 2024, with peak activity and EUV output occurring in late 2024, though the phase has extended into 2025 with declining but still elevated levels as of November 2025, influencing through heightened radiation levels. Solar EUV flares, sudden bursts from coronal loops, amplify this radiation and drive ionospheric disturbances, contributing to geomagnetic storms and radio blackouts on . Detecting these natural EUV sources poses significant challenges, as Earth's atmosphere absorbs nearly all EUV photons below 100 nm, necessitating space-based telescopes like the (SDO) or Extreme Ultraviolet Explorer (EUVE). Instruments such as SDO's Atmospheric Imaging Assembly capture full-disk solar images in multiple EUV bands, enabling monitoring of coronal structures and variability from orbit.

Artificial Generation Methods

Artificial generation of extreme ultraviolet (EUV) radiation relies on controlled laboratory and industrial techniques that produce high-intensity, short-wavelength light in the 10–124 range. These methods address the challenges of EUV's strong in air, necessitating environments for , as noted in discussions of its physical properties. Key approaches include plasma-based sources, accelerator-driven radiation, and nonlinear optical processes, each optimized for specific applications like and . Laser-produced plasma (LPP) sources generate EUV by focusing high-power on targets to create hot, dense that emit at desired wavelengths. In industrial systems, such as those developed by for semiconductor , a CO2 with pulse energies of approximately 0.5 J targets micron-sized tin droplets, producing that radiates primarily at 13.5 nm through transitions in highly ionized tin ions. This method achieves conversion efficiencies of around 5% from to EUV power, enabling output powers up to 250 W in commercial tools. The process involves pre-heating the droplets with a secondary to form an optimal state, minimizing debris while maximizing emission. Synchrotron radiation from storage rings provides a tunable, EUV source by accelerating relativistic in s, yielding continuous spectra from to X-rays. Facilities like the European Synchrotron Radiation Facility's Extremely Brilliant Source operate rings at energies of 6 GeV, producing EUV fluxes exceeding 10^12 photons/s/mm²/mrad²/0.1% BW at 13.5 nm through bending magnets or undulators. Tunability is achieved by varying energy or strength, offering high stability and brightness for , with emittances below 100 pm·rad for enhanced . High-harmonic generation () uses lasers to ionize gases, driving electron recollision that produces coherent EUV harmonics. Intense near-infrared pulses (e.g., 800 nm, 10^14 W/cm²) in like generate odd harmonics up to the 100th order, corresponding to wavelengths around 8 nm, with pulse durations below 100 as. This tabletop method yields millijoule-level EUV per pulse at repetition rates up to 1 kHz, prized for its coherence and phase control in attosecond science. Free-electron lasers (FELs) amplify seed radiation using relativistic electron bunches in undulators, producing intense, tunable EUV pulses. The FERMI@Elettra facility in delivers seeded FEL output at 10–100 nm with pulse energies over 100 µJ and durations of 20–200 fs, achieving peak powers of 10 GW through high-gain harmonic generation. Similarly, the Linac Coherent Light Source (LCLS) at SLAC extends to soft /EUV regimes via upgrades like LCLS-II-UE, providing tunable pulses up to 1 mJ at 13.5 nm with femtosecond resolution. These sources excel in brightness, surpassing 10^23 photons/s/mm²/mrad²/0.1% BW. Direct tunable methods employ , such as (FWM), to convert lower-energy photons into specific EUV wavelengths. In atomic vapors or plasmas, FWM involving two pump beams and a signal generates sum-frequency EUV via third-order , tunable from 50–100 nm with efficiencies enhanced by phase matching. Recent demonstrations achieve narrowband output at 58 nm using gas, with pulse energies in the nanojoule range. Advancements in 2025 have leveraged epsilon-near-zero (ENZ) materials to boost efficiency in the EUV regime. Indium-doped films exhibit near-zero , enhancing nonlinear responses like by factors of 10–100, enabling brighter coherent EUV from compact setups. This approach, reported in October 2025, promises scalable sources for integrated by reducing required laser intensities.

Interaction with Matter

Absorption and Penetration Depth

Extreme ultraviolet (EUV) radiation experiences strong absorption in gaseous media like air due to photoionization processes involving molecular oxygen and nitrogen. At a wavelength of 13.5 nm, the linear absorption coefficient in air at atmospheric pressure is approximately 70 cm⁻¹, resulting in transmission of only about 0.1% through a 1 mm path length. This high attenuation means that EUV flux decreases by 99% over distances shorter than 1 mm in 1 atm air, rendering atmospheric propagation impossible and necessitating evacuated beamlines and vacuum chambers for practical applications such as lithography and spectroscopy. The attenuation of EUV intensity through a medium is described by the Beer-Lambert law: I = I_0 e^{-\mu x} where I is the transmitted intensity, I_0 is the initial intensity, \mu is the linear absorption coefficient, and x is the path length. In air, the short dictated by this law—on the order of tens of micrometers—highlights the need for high-vacuum conditions to maintain sufficient EUV flux over operational distances. In solid materials, EUV penetration depths are similarly limited by photoelectric , typically reaching only 1–2 in high-atomic-number (high-Z) metals such as , where the exceeds 10⁵ cm⁻¹. Polymers, including those used in photoresists, exhibit somewhat greater penetration on the order of 10–50 due to lower average atomic numbers, though still shallow compared to longer wavelengths. Low-Z materials like and show reduced (around 10³–10⁴ cm⁻¹), enabling their use in thin-film filters and multilayer with measurable transmission over tens of nanometers. In contrast, high-Z materials like have markedly higher , making them ideal for opaque absorber layers in EUV masks. The dominance of photoelectric in these interactions confines EUV effects primarily to surface layers.

Photoelectric and Secondary Effects

When extreme ultraviolet (EUV) photons are absorbed by matter, the dominant interaction is the , in which the photon's energy (typically 10–124 eV) exceeds the of in atoms such as carbon, oxygen, or , leading to their ejection and the creation of inner-shell vacancies. These vacancies are subsequently filled by s from higher s, resulting in either radiative relaxation via (more prevalent in heavier elements) or non-radiative processes, where the excess energy ejects an additional from a or outer . In light materials common to resists, emission predominates, contributing to the initial generation of low-energy s that drive subsequent chemistry. The ejected primary photoelectrons, with kinetic energies up to approximately 50 depending on the subtracted from the , initiate a cascade of through inelastic collisions and events within the material. This amplification process approximately 2–6 per absorbed EUV , with a maximum limited by the allowing up to about 9 ionizations; most secondaries have energies below 50 and an average around 10–20 , enabling spatial blurring in patterning applications. In materials like SiO₂ used in resists and underlayers, electron curves—plotting secondary electron versus incident energy—reveal peaks near 20–100 , where the can exceed , highlighting the material's responsiveness to EUV-induced cascades and informing resist design for minimized blur. In gaseous environments, EUV occurs above atomic or molecular thresholds around 10–25 eV (e.g., 13.6 eV for and 21.6 eV for ), directly producing ion-electron pairs that can avalanche into formation via secondary ionizations by the freed electrons. The absorbed EUV energy localizes within volumes smaller than 1 nm due to the short mean free paths of photoelectrons and secondaries, resulting in rapid thermalization and localized heating that breaks chemical bonds in organic compounds, such as C–C or C–H linkages in resists, without significant bulk heating.

Solar Cycle Variations

The extreme ultraviolet (EUV) radiation from exhibits significant variations over the approximately 11-year , driven by changes in solar activity levels. During this cycle, solar EUV flux can increase by a factor of up to 10 from to maximum, reflecting heightened coronal heating and emissions associated with activity. For , which reached its maximum phase in 2024–2025, these variations have been particularly notable, with peak numbers contributing to elevated EUV output. As of November 2025, the maximum phase has persisted with a smoothed number peaking at approximately 156 in 2024, accompanied by heightened activity impacting operations and ionospheric disturbances. At solar minima, the Sun's corona is cooler and less active, resulting in low ionization levels and reduced EUV emissions primarily from quiet-Sun regions. In contrast, during solar maxima, intensified magnetic activity leads to enhanced coronal emissions, with frequent solar flares and prominences producing bursts of high-temperature that emit strongly in the EUV . These dynamic features, such as flares, can cause short-term EUV spikes superimposed on the broader cycle modulation. Solar EUV variations are monitored using space-based instruments on satellites like the (SOHO) and the (SDO), which provide direct measurements of EUV and imaging of coronal structures. Additionally, the F10.7 cm radio flux index serves as a reliable ground-based for EUV levels, correlating well with inputs to Earth's atmosphere. These EUV fluctuations have profound terrestrial impacts, primarily through modulation of the ionosphere's , which can degrade GPS signal accuracy and disrupt high-frequency radio communications during maxima. Such effects underscore the role of EUV monitoring in space weather forecasting, enabling predictions of ionospheric disturbances for , operations, and power grid protection. In 2024, and NOAA confirmed the onset of 25's maximum, with observations indicating sustained high EUV levels consistent with increased coronal activity and multiple strong flares. This peak phase, expected to persist into 2025, has already heightened risks.

Applications

Semiconductor Lithography

Extreme ultraviolet (EUV) has revolutionized semiconductor manufacturing by enabling the patterning of features at scales below 7 , using light at a of 13.5 generated primarily through laser-produced (LPP) sources involving tin () droplets. This allows for higher resolution compared to deep ultraviolet (DUV) techniques, supporting advanced logic nodes such as TSMC's introduced in production in 2022 and Intel's 18A node slated for 2025. The core systems for EUV lithography are provided by ASML, featuring scanners like the NXE series with tin LPP sources delivering up to 250 W of in-band power at 13.5 nm to achieve high throughput in high-volume manufacturing. These systems employ reflective optics consisting of approximately 10-12 multilayer Mo/Si mirrors, each designed to reflect about 70% of the EUV light while maintaining nanoscale surface precision to minimize aberrations and losses. The process operates without immersion fluids, as EUV light is strongly absorbed by water and air, relying instead on vacuum environments; patterning occurs through photoresists that respond primarily to secondary electrons generated by the absorption of EUV photons and subsequent photoelectrons in the resist material. Key challenges in EUV lithography include the low conversion efficiency of Sn LPP sources, typically around 5%, which limits power scaling and increases operational costs, as well as the need for robust pellicles to shield from debris generated during plasma formation without significantly attenuating the EUV flux. Additionally, geopolitical tensions have imposed restrictions, such as U.S. export controls that prevent the sale of advanced EUV tools to , impacting global supply chains as of 2025. Significant milestones include the shipment of the first commercial EUV tools by ASML in 2019, enabling initial high-volume production at 7 nm and below by foundries like TSMC and Samsung. Looking ahead, high-numerical-aperture (high-NA) EUV systems with 0.55 NA are set to support 2 nm nodes starting in 2025-2026, offering improved resolution and reduced process complexity for future scaling.

Astronomical Observations

Extreme ultraviolet (EUV) radiation plays a crucial role in astronomical observations of high-temperature plasmas, particularly in the solar , where it enables detailed imaging and spectroscopy of structures at temperatures around 1–2 million (MK). The Atmospheric Imaging Assembly (AIA) on the (SDO), launched in 2010, provides multi-band EUV imaging across wavelengths such as 94 Å, 131 Å, 171 Å, 193 Å, and 211 Å, capturing emissions from the quiet Sun to active regions and allowing for the study of coronal dynamics with high temporal and spatial resolution. These observations reveal the fine-scale structure of the , including loops and arcades formed by highly ionized iron lines sensitive to specific regimes. EUV observations facilitate mapping of temperature structures in the by leveraging differential emission measure analysis of spectral lines, which trace plasmas at 1–2 and highlight multithermal environments in quiet-Sun regions and active prominences. For instance, emissions in the 171 and 193 bands, dominated by Fe IX and Fe XII lines respectively, delineate cooler coronal components around 1 , while hotter contributions up to 2 appear in 211 Fe XIV lines, enabling the identification of thermal gradients in loop systems. This approach has been instrumental in resolving isothermal versus multithermal distributions, providing insights into energy balance and heating mechanisms without relying on invasive probes. In dynamic events, EUV tracks the of flares and coronal ejections (CMEs), revealing fronts, reconnection sites, and particle acceleration through brightenings and wave propagation. During flares, EUV bursts in multiple bands trace impulsive heating and post-flare loops, while CMEs are associated with large-scale EUV waves that propagate across the disk, often reaching speeds of 200–1000 km/s and interacting with coronal structures. These observations, such as those from SDO/AIA during the 2011 M6.6 flare event, correlate EUV wave signatures with CME drivers, aiding models of impacts. Key challenges in EUV astronomy include the need for grazing-incidence to short wavelengths, as conventional refractive lenses are ineffective, requiring multilayer coatings on mirrors with atomic-scale precision to achieve reflectivities above 30% at 10–100 . Satellite instruments must also withstand hardness from cosmic rays and solar protons, which degrade coatings and electronics over missions lasting years, necessitating robust materials like and shielding. absorption further limits extragalactic EUV observations, confining most studies to within the Local Bubble, though soft /EUV overlap in instruments like Chandra's High Resolution Camera has enabled limited detections of nearby stellar sources. The mission, launched in 2020, advances EUV observations with its Extreme Ultraviolet Imager (EUI), featuring high-resolution channels at 17.4 nm and 30.4 nm to resolve nanoflares—small-scale bursts with energies around 10^{24} erg—that contribute to coronal heating. EUI's off-perihelion views have detected up to 100 such events per second across , linking them to quiet-Sun transition region dynamics and providing the first direct evidence of their role in sustaining million-degree plasmas. Upcoming solar-focused missions, such as NASA's Multi-slit Solar Explorer (MUSE) slated for 2027, will extend spectroscopic capabilities in EUV to probe chromospheric-coronal interfaces during eruptions. During the 2025 solar maximum, enhanced EUV emissions from SDO/AIA and Solar Orbiter's spectrometer have revealed intensified coronal heating, with multi-temperature structures showing increased fluxes in 1–2 MK bands indicative of amplified nanoflare activity and . These data, combining and , demonstrate steady-state heating in active regions through diffuse EUV brightenings, supporting models where impulsive events dominate energy input during peak activity.

Spectroscopic and Metrology Techniques

Extreme ultraviolet (EUV) plays a crucial role in advanced spectroscopic techniques, particularly photoelectron spectroscopy (PES), where it enables the probing of surface electronic states with high energy resolution. In angle-resolved photoelectron spectroscopy (ARPES), EUV photons excite electrons from material surfaces, allowing mapping of band structures and momentum-resolved electronic properties. For instance, time-resolved ARPES using EUV sources has revealed conduction band structures and ultrafast dynamics in ferroelectric materials like α-GeTe, capturing electron-phonon interactions on timescales. These methods leverage EUV's short wavelengths to achieve momentum resolutions down to 0.01 Å⁻¹, providing insights into topological insulators and correlated electron systems. EUV-based metrology techniques are essential for precision measurements in optical systems and nanostructures. At-wavelength employs EUV light at 13.5 nm to align and characterize multilayer mirrors, achieving wavefront error measurements below 0.1 nm RMS for high-numerical-aperture . This approach is critical for ensuring sub-nanometer figure accuracy in reflective systems, as demonstrated in four-mirror ring-field configurations. Complementarily, EUV measures critical dimensions and overlay in periodic structures by analyzing patterns from EUV illumination, offering non-destructive with uncertainties under 1 nm for and sidewall angles. A key application is EUV reflectometry for characterizing thin films and multilayers, where reflectivity spectra yield thickness, , and roughness with sub-0.1 nm precision. Laboratory-based EUV reflectometers using sources have quantified carbon films as thin as 5 nm, resolving variations of 0.01 g/cm³ through angle- and wavelength-dependent measurements. This technique benefits from tunable artificial EUV sources to span 10–100 nm wavelengths for broad applicability. High-harmonic generation (HHG)-based EUV sources facilitate time-resolved studies of ultrafast dynamics in . These coherent, attosecond-pulsed sources drive photoemission experiments, tracking evolution and phase transitions with temporal resolutions below 100 as. For example, HHG-EUV has been used to observe electron dynamics in strongly correlated systems, revealing relaxation times on the order of 10 fs. Recent advancements include machine learning-assisted EUV detectors, which enhance high-throughput imaging by predicting and optimizing responsivity for uniform spatial detection. Published in in July 2025, this approach integrates algorithms to design detectors with quantum efficiencies exceeding 20% at 13.5 nm, enabling faster spectroscopic data acquisition. Additionally, photon acceleration techniques have generated EUV vector vortex beams, preserving orbital for structured light applications in , as reported in June 2025. These beams achieve intensities up to 2.5 × 10²⁰ W/cm² with topological charges up to ℓ=2, advancing precision alignment and .

Health and Material Effects

Damage Mechanisms

Extreme ultraviolet (EUV) radiation, with photon energies typically ranging from 10 to 124 , directly ionizes materials upon , ejecting primary photoelectrons that initiate cascades of low-energy . These , produced through , propagate through the material and cause indirect by further exciting or ionizing atoms and molecules, leading to bond breaking and formation. Although EUV photons below certain thresholds may not directly ionize all , the secondary electron cascades amplify damage far beyond direct photoabsorption effects. This process is central to both material degradation and biological harm in EUV-exposed systems. In optical components like Mo/Si multilayers used in EUV , damage manifests as atomic displacement and structural blistering due to accumulated from repeated EUV exposures. Blistering occurs after high fluences, where generated by EUV irradiation penetrates the multilayer interfaces, causing gas accumulation and . Carbon buildup exacerbates this by forming thin films on mirror surfaces through photon-induced cracking of adsorbed hydrocarbons, reducing reflectivity by up to 20% at thicknesses of ~2-3 nm. Damage fluence models predict multilayer optic lifetimes exceeding 10^6 pulses under operational conditions, with end-of-life defined by a 10% reflectivity loss after billions of pulses in high-volume . in photoresists arises from localized heating by absorbed EUV , leading to volatile formation and pattern collapse at fluences above threshold values specific to resist chemistry. Biological tissues experience degradation primarily through secondary electron-mediated processes, where cascades induce DNA strand breaks by dissociative electron attachment and base damage. Acute exposures pose risks of skin erythema and corneal burns due to shallow penetration and rapid energy deposition causing photochemical and thermal injury. Due to surface absorption, even low fluences can cause localized damage, though exact thresholds for EUV are not well-defined. Ionization in cellular water generates reactive radicals, such as hydroxyl species, that amplify oxidative stress and cellular apoptosis, underscoring EUV's potential as an indirect ionizing agent despite its non-penetrating nature.

Safety and Protection Measures

Handling extreme ultraviolet (EUV) radiation requires stringent to mitigate risks in and environments, primarily due to its strong by air and potential for secondary generation. EUV systems are operated within enclosures to contain the and prevent atmospheric , with interlock mechanisms ensuring that access is restricted during active to avoid unintended exposure. Additionally, EUV-blocking filters, such as thin (Zr) foils approximately 150 nm thick, are employed to suppress out-of-band light and secondary emissions while transmitting the desired 13.5 nm wavelength. These measures align with SEMI S2 guidelines, which provide environmental, health, and safety protocols for semiconductor manufacturing equipment, including risk assessments for tools. Personal protective equipment (PPE) for EUV environments emphasizes remote operation of high-flux sources to minimize direct human interaction, as EUV photons do not penetrate air significantly and pose limited direct risk outside systems. Standard is ineffective against EUV due to its non-visible, short-wavelength nature; instead, general PPE such as gloves, protective clothing, and face shields is recommended for handling associated components, with additional shielding for potential secondary X-rays from sources. Monitoring with dosimeters is essential for detecting secondary X-ray emissions, ensuring compliance with broader safety practices. Secondary radiation from EUV sources, including higher-energy X-rays and debris, requires specific shielding and monitoring to protect personnel from penetrating effects not present with primary EUV. Biological safeguards focus on limiting to prevent and damage from any escaped or secondary effects. is minimized due to operation, with general protocols applying to secondary effects. Personnel training is mandatory for plasma-related hazards, including high-power interactions and in EUV sources, as outlined in SEMI S21 worker protection guidelines. Ongoing enhancements for high-NA EUV systems as of 2025 incorporate improved interlocks and real-time monitoring to handle increased flux in advanced lithography and inspection tools. These developments build on SEMI S10 risk evaluation standards to ensure safe scaling of EUV applications.

References

  1. [1]
    extreme ultraviolet | Photonics Dictionary
    EUV radiation has wavelengths between 10 and 124 nanometers, which corresponds to frequencies in the range of approximately 2.5 petahertz to 30 exahertz.
  2. [2]
    Ultraviolet (UV) Radiation - UCAR Center for Science Education
    Extreme UV radiation has the shortest wavelength range and highest energies of the regions of the ultraviolet spectrum, and lies on the border between UV and X ...
  3. [3]
    Extreme Ultraviolet - an overview | ScienceDirect Topics
    Extreme ultraviolet (EUV) refers to the portion of the electromagnetic spectrum with wavelengths below 200 nm. It is characterized by strong absorption in ...
  4. [4]
    Extreme ultraviolet lithography: A review - AIP Publishing
    Oct 11, 2007 · Extreme ultraviolet lithography (EUVL) was thoroughly reviewed over a broad range of topics, including history, tools, source, metrology, condenser and ...
  5. [5]
    Extreme-ultraviolet sources for lithography applications
    The source is a critical factor for the success of Extreme Ultraviolet Lithography (EUVL). This paper presents an update of the EUV source requirements.
  6. [6]
    The extreme ultraviolet explorer
    The primary purpose of the mission is to survey the celestial sphere for astronomical sources of Extreme Ultraviolet (EUV) radiation.
  7. [7]
    EUVST - NASA Science
    Sep 4, 2024 · EUVST is a solar telescope to study how solar winds are released from the Sun, creating space weather. Type. Space Telescope.
  8. [8]
    The GOES-R EUVS model for EUV irradiance variability
    Solar Extreme Ultraviolet (EUV, 10–121 nm) irradiance is the primary energy input into the Earth's upper atmosphere at low to mid latitudes and at all ...
  9. [9]
    ON THE NATURE OF DARK EXTREME ULTRAVIOLET ...
    However, the continuum absorption strongly de- creases with decreasing wavelength, and in the far-EUV, at the ... always lie in the range between 0.8 and 1.0 for ...
  10. [10]
    [PDF] Title: Extreme Ultraviolet Metalens by Vacuum Guiding
    wavelength range 10 nm – 121 nm, corresponding to 10 eV – 124 eV photon energy (16). ... range 0.1 nm - 10 nm, photon energy range 124 eV - 12 keV (16)), material ...
  11. [11]
    What are the Energy Range Definitions for EM Radiation? - HEASARC
    Mar 18, 2021 · Astronomers have made observations of electromagnetic radiation from cosmic sources that cover a range of more than 21 decades in wavelength.
  12. [12]
    OBSERVATIONS OF SOLAR ULTRAVIOLET RADIATlON* Early ...
    spectrum (XUV denoting, cumulatively, soft X-rays and the far vacuum ultraviolet, often called extreme ultraviolet, or EUV) was limited to inferences based on ...
  13. [13]
    [PDF] Extreme Ultraviolet Variability Experiment (EVE)
    Jan 12, 2010 · The solar output in the EUV. (10 – 121 nm) and XUV (0.1 – 10 nm) spectrum varies with solar activity from a factor of two to several orders of ...
  14. [14]
    Beamline 3: Extended Range Monochromator for Ultraviolet and ...
    Jun 22, 2015 · Extreme Ultraviolet Detector Calibration Service · Bilateral Comparison of Spectral Responsivity in the Vacuum-Ultraviolet · Extreme ultraviolet ...Missing: definition | Show results with:definition<|separator|>
  15. [15]
  16. [16]
    [PDF] Multilayer reflective coatings for extreme-ultraviolet lithography
    Multilayer mirror coatings reflect EUV radiation, key for EUV lithography. Mo/Si multilayers achieve 67.5% reflectance at 13.4 nm, and MO/Be at 70.2% at 11.4  ...
  17. [17]
    Interactions of Photons With Matter
    The two most common forms of interaction are the photoelectric effect, .Figure 1.5, and Compton scattering, Figure 1.6. The probability of these events depends ...Missing: EUV | Show results with:EUV
  18. [18]
    Characterization of material ablation driven by laser generated ...
    Sep 14, 2015 · The simulation shows that EUV energy deposition is localized within a high-density localized region in the plasma, whereas laser energy is ...
  19. [19]
    [PDF] EUV-Induced Nanostructuring of Solids
    If the wavelength is properly adjusted to a polymer absorption properties, the penetration depth can be less than 1 µm. [2, 3]. A very important parameter is ...
  20. [20]
    Solar He II 30.4 Nanometer Irradiance - IOP Science
    The SOHO EIT experiment has been taking full-Sun images at the 30.4 nm (He II), 28.4 nm (Fe XV), 19.5 nm (Fe XII), and 17.1 nm (Fe IX) wavelengths almost every ...
  21. [21]
    Spectroscopic Diagnostics for Highly Charged Iron Ions Observed in ...
    Sep 18, 2025 · EUV emission lines from highly charged Fe ions observed in the solar corona are also produced in the Large Helical Device (LHD) and the compact ...
  22. [22]
    Stellar Coronae - JILA
    Stellar coronae are hot ionized plasmas in the outer atmospheres of most stars. X-ray spectra that are being obtained by the Chandra and XMM-Newton ...Missing: sources nuclei Jupiter<|separator|>
  23. [23]
    [PDF] Extreme Ultraviolet Astronomy
    EUV Radiation from Active Galactic Nuclei and Quasars ... Modeled EUV Spectrum of Jupiter's Aurora. 261 ... THE FIRST DETECTION OF STELLAR EUV SOURCES. AND ...
  24. [24]
    How are the EUV and radio polar limb-brightenings correlated?
    The coronal emission (17.1 nm) shows a positive correlation between limb brightening intensity and the solar cycle. The positive correlation we find between ...<|separator|>
  25. [25]
    NASA, NOAA: Sun Reaches Maximum Phase in 11-Year Solar Cycle
    Oct 15, 2024 · In December 2024, NASA's Parker Solar Probe mission will make its closest-ever approach to the Sun, beating its own record of closest human-made ...
  26. [26]
    Solar Flares (Radio Blackouts) - Space Weather Prediction Center
    The increased level of X-ray and extreme ultraviolet (EUV) radiation results in ionization in the lower layers of the ionosphere on the sunlit side of Earth.
  27. [27]
    [PDF] The status and future of EUV astronomy - arXiv
    With the realisation that galactic sources of EUV radiation may be de- tectable from above the Earth's atmosphere, a number of investigators, mainly at the ...
  28. [28]
    [PDF] Extreme Ultraviolet Lithography - SPIE
    (The transmission of 13.5-nm light through 1 mm of air at atmospheric pressure is only ~0.1%.) There are a number of ramifications of vacuum. In optical ...
  29. [29]
    Extreme ultraviolet optical constants | NIST
    Aug 19, 2015 · The intensity within the absorbing medium decays exponentially: I = I0 exp(-at), where a=4pk/λ is known as the absorption coefficient, t is the ...
  30. [30]
    Beyond EUV lithography: a comparative study of efficient ... - Nature
    Mar 18, 2015 · Extreme ultraviolet (EUV) lithography at 13.5 nm is the main candidate for patterning integrated circuits and reaching sub-10-nm resolution ...
  31. [31]
    Applications of Compact Laser‐Driven EUV/XUV Plasma Sources
    Dec 19, 2010 · Saturation occurs for PTFE due to the very high absorption and the resulting penetration depth of approximately 53 nm. Independent from polymer ...
  32. [32]
  33. [33]
    Fundamental understanding of chemical processes in extreme ...
    Oct 19, 2018 · Processes that occur in the resist film after absorption of an EUV photon are discussed, and a new approach to study these processes on a fundamental level is ...
  34. [34]
    Photoelectric Effect at Ultrahigh Intensities | Phys. Rev. Lett.
    Nov 20, 2007 · In the spectral range of the extreme ultraviolet at a wavelength of 13.3 nm, we have studied the photoionization of xenon at ultrahigh intensities.
  35. [35]
    [PDF] Quantum electrodynamical formulation of photochemical acid ... - arXiv
    Sep 13, 2024 · primary and secondary photochemical processes, such as flares and secondary electrons, into a single ... Extreme Ultraviolet (EUV) Lithography XI, ...
  36. [36]
    Extreme Ultraviolet (EUV) Lithography | (2010) | Publications - SPIE
    Mar 3, 2010 · At EUV, acid generators are hypothesized to be activated by secondary electrons yielded by ionization of the resist upon absorption of photons.
  37. [37]
    Observing secondary-electron yield and charging in an insulating ...
    Dec 30, 2021 · Irradiation energy of the PEs with SEY of 1 (E1) for SiO2 and Si3N4 was about 20 eV and that for the resist using in extreme ultraviolet (EUV) ...
  38. [38]
    [PDF] Numerical studies of the EUV-induced plasma in argon and hydrogen
    2016. 3. 26. · This additional photoionisation is compa- rable to the direct photoionization due to the high energy part of the spectrum (i.e. 60 – 120 eV), ...
  39. [39]
    Key Role of Very Low Energy Electrons in Tin-Based Molecular ...
    Feb 4, 2020 · Extreme ultraviolet (EUV) lithography (13.5 nm) is the newest technology that allows high-throughput fabrication of electronic circuitry in ...
  40. [40]
    Solar EUV Irradiance | NOAA / NWS Space Weather Prediction Center
    Solar EUV radiation changes by a factor of ten over the course of a typical solar cycle. This variability produces similar variations in the ionosphere and ...Missing: 10-100 | Show results with:10-100
  41. [41]
    Recent advances in solar coronal extreme ultraviolet waves - Journals
    Oct 30, 2024 · Waves will be naturally triggered when the coronal plasma medium is disturbed by the release of the stored energy in the closed magnetic fields.Missing: radiation | Show results with:radiation
  42. [42]
    [PDF] Developing a Proxy Model for Solar EUV Irradiance
    Thus, this project seeks to model the EUV flux observed by these instruments as a linear combination of other inputs from operational satellites and sources– ...
  43. [43]
    Does the F10.7 index correctly describe solar EUV flux during the ...
    Apr 8, 2011 · Therefore, F10.7 is not an ideal indicator of foF2 during the recent Smin, which implies that F10.7 is not an ideal proxy for solar EUV ...Missing: SDO | Show results with:SDO
  44. [44]
    Solar cycle and seasonal variations of the GPS phase scintillation at ...
    Oct 23, 2018 · The results show clear solar cycle and seasonal variations, with the GPS scintillation occurrence rate being much higher during solar maximum than during solar ...1 Introduction · 2 Instrumentation And Data... · 3.2 The Solar Cycle...
  45. [45]
    Joint Solar Maximum Announcement from NASA and NOAA
    Oct 16, 2024 · The Sun is officially in solar maximum of Solar Cycle 25. Representatives from NASA, the National Oceanic and Atmospheric Agency (NOAA), and the Solar Cycle ...
  46. [46]
    EUV lithography systems – Products - ASML
    With an (NA) of 0.55, their innovative new optics use our novel 13.5 nm EUV light source to provide higher contrast and print with a resolution of just 8 nm.Mass Producing Leading-Edge... · Exe Systems · Nxe Systems
  47. [47]
    EUV Extreme Ultraviolet Lithography Wiki - SemiWiki
    Jul 12, 2025 · Laser-produced plasma (LPP) is created by firing a high-power CO₂ laser at tin droplets (Sn), creating plasma that emits EUV light at 13.5 nm.
  48. [48]
    Coherent in Semiconductor Manufacturing: EUV Lithography
    Oct 17, 2024 · EUV lithography uses light at a wavelength of approximately 13.5 nm. This has enabled chip makers to reach the 7 nm, 5 nm, 3 nm, and 2 nm nodes.
  49. [49]
    With High NA EUV, Intel Foundry Opens New Frontier in Chipmaking
    Apr 18, 2024 · More About High NA EUV: High NA EUV lithography is an evolutionary step beyond EUV lithography, which uses a wavelength of light (13.5nm) that ...
  50. [50]
    [PDF] High-NA EUV lithography
    Jul 28, 2017 · Source power: 250W demonstrated,10x improvement in five years. 250W with dose in specifications obtained on development source. 15 June 2017.
  51. [51]
    EUV With Fewer Mirrors? - by Jon Y - The Asianometry Newsletter
    Oct 28, 2024 · A mirror inside ASML's EUV lithography machine reflects just 70% of the EUV light it receives. With 10-12 reflections in the machine, this can ...Missing: 250W Mo/ Si
  52. [52]
    Lenses & mirrors - Lithography principles - ASML
    Our state-of-the-art EUV lithography machines, whose largest mirrors are 1 meter across and smooth down to tens of picometers, have an NA of 0.55.Numerical Aperture · Complexity Brings Control · Euv Needs MirrorsMissing: 250W power Mo/ Si
  53. [53]
    Secondary Electrons in EUV Lithography - J-Stage
    Secondary electrons play critical roles in several imaging technologies, including extreme ultraviolet (EUV) lithography.
  54. [54]
    Review The development of laser-produced plasma EUV light source
    To reduce the process complexity of DUV lithography, extreme ultraviolet lithography (EUVL) was proposed, which used 13.5 nm wavelength light source. It has ...
  55. [55]
    EUV Lithography Issues Engineers Face | Overlooked Risks & Fixes
    Aug 7, 2025 · ... EUV pellicles introduce serious optical and thermal challenges. They must transmit 85-90% of EUV light and withstand >500°C heat from ...
  56. [56]
    EUV lithography restrictions on China must continue, Trump aide says
    May 23, 2025 · Restricting the sale of extreme ultraviolet (EUV) lithography equipment to China is the "single most important export control" the United States has in the ...
  57. [57]
    High-NA 0.55 EUV Imaging: Resist Requirements, DOF, And Mask ...
    Aug 22, 2025 · By 2019, ASML delivered the first production-ready 0.33 NA EUV systems, marking a pivotal moment in semiconductor manufacturing technology.
  58. [58]
    5 things you should know about High NA EUV lithography - ASML
    Jan 25, 2024 · After a decade of R&D, ASML shipped the first modules of the first High NA EUV lithography system to Intel in December 2023.Missing: commercial | Show results with:commercial
  59. [59]
    AIA - Atmospheric Imaging Assembly "First Light" images and movies
    The Atmospheric Imaging Assembly (AIA) on the Solar Dynamics Observatory (SDO) is designed to provide an unprecedented view of the solar corona.
  60. [60]
    Extreme-ultraviolet bursts and nanoflares in the quiet-Sun transition ...
    To study the statistical properties of EUV bursts in the quiet-Sun corona, we used data recorded in six EUV filters of SDO/AIA (94 Å, 131 Å, 171 Å, 193 Å, 211 Å ...
  61. [61]
    temperatures of extreme-ultraviolet–emitting plasma structures ...
    Since these filters are most sensitive to EUV emissions from plasmas of coronal temperatures 1, 1.5, and 2 MK, respectively, it is customary to choose 1–2 MK ...Missing: mapping | Show results with:mapping
  62. [62]
    Thermal structuring of the quiet solar corona
    These are prominent in the UV and extreme-ultraviolet (EUV) emission lines ... plasma at transition region and coronal temperatures up to 1–2 MK. These ...
  63. [63]
    Coronal Loops: Observations and Modeling of Confined Plasma
    Warm loops are those better observed in several EUV bands with lines emitted around 1 MK and often found to be more isothermal and dense than expected, probably ...
  64. [64]
    [PDF] Studying EUV Emission in Realistic Flare–CME Environments in the ...
    Extreme Ultraviolet (EUV) emission from the solar corona can be a valuable source of information about the complex conditions and dynamics of eruptive ...
  65. [65]
    Analysis of a CME and EUV waves associated with a solar flare on ...
    On 13 February 2011 a M6.6 flare occurred in active region 11158 associated with a coronal mass ejection and EUV waves. We analyse these events using ...
  66. [66]
    Grazing-Incidence EUV Collectors - SPIE Digital Library
    The challenge in this case is at least twofold: (1) sophisticated technology is required to manufacture surfaces with such curva- tures; and (2) highly ...Missing: hardness | Show results with:hardness
  67. [67]
    (PDF) Mirrors for Space Telescopes: Degradation Issues
    Oct 6, 2020 · In this review we report an overview on current mirror technology for space missions with a focus on the importance of degradation and radiation ...
  68. [68]
    [PDF] EUV spectroscopy of high-redshift x-ray objects - OSTI
    Jun 16, 2010 · In the following sections we discuss important issues for extragalactic observations including absorption by the galactic interstellar medium ( ...
  69. [69]
    The Solar Orbiter EUI instrument: The Extreme Ultraviolet Imager
    The EUI consists of three telescopes, the Full Sun Imager and two High Resolution Imagers, which are optimised to image in Lyman-α and EUV (17.4 nm, 30.4 nm) to ...
  70. [70]
    Extreme-ultraviolet bursts and nanoflares in the quiet-Sun transition ...
    We estimate that about 100 new bursts appear per second on the whole Sun. The detected bursts have nanoflare-like energies of 10 24 erg per event.
  71. [71]
    A mission-embedded outreach program for NASA's Multi-slit Solar ...
    Sep 14, 2025 · NASA's Multi-slit Solar Explorer (MUSE) mission is targeted to launch no earlier than July 2027 and is being designed to observe the solar ...
  72. [72]
    Thermal structuring of the quiet solar corona
    Aug 28, 2025 · We combined spectral data from the EUV spectrometer SPICE on board Solar Orbiter and imaging data from AIA on board SDO to cover a broad ...
  73. [73]
    Steady-state Heating of Diffuse Coronal Plasma in a Solar Active ...
    The coronal temperature is up to 1 MK in quiet Sun areas, while up to several megakelvins in active regions, which implies a key role of the magnetic field in ...
  74. [74]
    Conduction Band Structure and Ultrafast Dynamics of Ferroelectric α
    May 7, 2025 · Using time-resolved ARPES based on high-repetition rate and extreme ultraviolet femtosecond (fs) laser, we unveil the band structure of α 𝛼 \ ...
  75. [75]
    A setup for extreme-ultraviolet ultrafast angle-resolved photoelectron ...
    Feb 13, 2019 · In this paper, we present the realization of an XUV trARPES setup operating at 50-kHz repetition rate, which enables sensitive measurements of ...
  76. [76]
    EUV interferometry of a four-mirror ring-field EUV optical system - ADS
    At-wavelength, extreme ultraviolet interferometric measurements of a new, four-mirror, ring-field projection optical system have been made.
  77. [77]
    EUV Scatterometry | NIST
    Mar 23, 2024 · This project will use scatterometry to benefit advanced IC manufacturing by developing novel extreme ultraviolet (EUV) optics, tabletop sources, and ...
  78. [78]
    (PDF) EUV reflectometry for thickness and density determination of ...
    Aug 7, 2025 · We present EUV reflectometry (EUVR) measurements conducted on a series of carbon thin films to determine thickness and mass density of the ...
  79. [79]
    [PDF] Spectroscopic EUV reflectometry for characterization of thin film ...
    • EUV spectroscopic reflectometry is a powerful tool for analysis of thin films ... • Laboratory EUV light for future metrology tools with high spatial resolution.
  80. [80]
    Tracking ultrafast solid-state dynamics using high harmonic ...
    Jun 28, 2021 · We establish time-resolved high harmonic generation (tr-HHG) as a powerful spectroscopy method for tracking photoinduced dynamics in strongly correlated ...
  81. [81]
    Machine learning-assisted high-throughput prediction and ... - Nature
    Jul 7, 2025 · ... EUV photons to generated carriers via the photoelectric effect. These values gradually increase by an order of magnitude, with peak values ...
  82. [82]
    Photon acceleration of high-intensity vector vortex beams into the ...
    Jun 3, 2025 · In summary, a nonlinear plasma wave driven by a relativistic electron beam can frequency upshift optical vector vortex pulses to the XUV while ...
  83. [83]
    EUV: Extreme Ultraviolet Lithography - Semiconductor Engineering
    Extreme ultraviolet (EUV) lithography is a soft X-ray technology, which has a wavelength of 13.5nm. Today's EUV scanners enable resolutions down to 22nm ...
  84. [84]
    A table-top EUV focusing optical system with high energy density ...
    Oct 18, 2018 · Since helium has a lower absorption coefficient than that of nitrogen at the wavelength of 13.5 nm, helium or nitrogen was chosen in the high or ...
  85. [85]
    SEMI Standards Safety
    SEMI safety standards cover equipment safety labels, environmental, health, and safety, process liquid heating, gas cylinder segregation, and worker protection.
  86. [86]
    RaySafe - Leader in X-Ray Radiation Dosimetry Management
    The multi-purpose radiation survey meter helps identify and quantify radiation sources.Calibration, Repair, Service · Contacts · Products · X-Ray Test EquipmentMissing: EUV lithography secondary<|control11|><|separator|>
  87. [87]
    [PDF] guidelines on limits of exposure to ultraviolet radiation of ... - ICNIRP
    These guidelines set maximal exposure limits for UV radiation between 180 nm and 400 nm, to protect from adverse effects, and provide a healthy environment.Missing: extreme EUV