Fact-checked by Grok 2 weeks ago

Microlithography

Microlithography encompasses techniques for creating microscopic and nanoscopic patterns on substrates, primarily through photolithographic processes central to manufacturing, where a light-sensitive known as is coated onto a , selectively exposed to through a to transfer intricate circuit patterns, and developed to form a that is subsequently etched or deposited into the substrate. This technique enables the fabrication of integrated circuits () with microscopic features, typically ranging from micrometers down to nanometers, forming the basis for transistors, interconnects, and other components in microelectronic devices. The process begins with substrate preparation, including cleaning and applying an adhesion promoter, followed by spinning on a thin layer of , which is then softbaked to evaporate solvents. occurs using projection systems like steppers or that project the mask pattern onto the resist with high precision, often employing (UV) light wavelengths such as 193 nm from lasers. Post-exposure baking and development with solutions like (TMAH) create the desired pattern, which is hardened and transferred to the via , doping, or deposition before the resist is removed. These steps are repeated 20–30 times per to build multilayer structures, accounting for approximately 30% of IC production costs and directly influencing device performance through feature size scaling. Microlithography has evolved significantly since its origins in the 1960s, driven by , which posits a doubling of density roughly every two years, necessitating continual advances in and throughput. Early optical systems used g-line (436 nm) and i-line (365 nm) mercury lamps for features above 1 μm, transitioning to deep UV (248 nm and 193 nm) in the 1980s and 1990s for submicron patterning. Today, () lithography at 13.5 nm , pioneered by and enabled by reflective due to light absorption in standard lenses, supports production of chips at 3 nm nodes and below, including 2 nm nodes entering mass production in 2025, with high-numerical-aperture (high-NA) systems achieving resolutions under 8 nm, entering production in 2025. Beyond semiconductors, microlithography techniques like electron-beam and extend to microelectromechanical systems () and advanced packaging, while ongoing challenges include sensitivity, defectivity, and overlay accuracy to sustain scaling. The global EUV market, integral to this evolution, was valued at over USD 10 billion in 2024, underscoring its pivotal role in powering innovations in , , and .

Introduction

Definition and Scope

Microlithography is the process of transferring microscopic patterns onto a using radiation-sensitive materials, known as photoresists, which are selectively altered by exposure to such as , electrons, or X-rays. This technique enables the creation of intricate two-dimensional patterns on planar surfaces, typically with feature sizes ranging from several micrometers down to sub-micrometer scales. The patterned resist then serves as a template for subsequent material processing steps, such as or deposition, to form functional structures in . The scope of microlithography encompasses the fabrication of microscale devices, particularly in semiconductor manufacturing, where it plays a pivotal role in producing integrated circuits and . It distinguishes itself from macrolithography, which deals with larger-scale patterning for features in the millimeter to centimeter range, by focusing on precision at the microscale to enable denser and more complex structures. In contrast to , which often employs non-optical methods for features below 100 nm, microlithography primarily relies on optical techniques that have advanced to enable nanoscale patterning through methods like deep ultraviolet and . The core objectives of microlithography include achieving high-resolution transfer to minimize dimensions, ensuring precise accuracy across multiple layers, and maintaining high throughput for scalable . A basic involves the with a thin layer, exposing selected areas to through a or direct writing, developing the resist to reveal the , and transferring it via or other processes, all while optimizing for uniformity and defect control. These elements collectively support the production of reliable microdevices with performance driven by .

Historical Context and Evolution

The roots of microlithography trace back to 19th-century advancements in photomechanical printing, where early experiments with light-sensitive materials laid the groundwork for pattern transfer techniques. In the early 1800s, Joseph Nicéphore Niépce developed the first photomechanical image using bitumen-coated , demonstrating the potential for to selectively harden and remove materials to form patterns, a principle foundational to later processes. These innovations evolved from Alois Senefelder's 1796 invention of , which combined chemical and mechanical patterning but lacked photosensitivity until integrated with photographic chemistry in the mid-19th century. The modern era of microlithography began in the mid-20th century with the application of to fabrication. In 1957, engineers Jay Lathrop and James Nall at the U.S. Army's Diamond Ordnance Fuze Laboratories patented a using photoresist-coated to transfer patterns via ultraviolet light exposure and development, enabling precise for electronic devices. This technique, initially aimed at miniaturizing circuits for military fuses, marked the first use of in processing. By the early 1960s, adopted and refined this approach through Jean Hoerni's planar process, which integrated with silicon oxidation to fabricate the first commercial integrated circuits, such as the 1960 μLogic family with multiple transistors on a single chip. The 1970s saw a pivotal shift from contact and proximity printing—prone to defects like mask damage—to projection systems for higher yield and resolution. introduced the Micralign scanner in 1973, the first commercial projection aligner using a scanning mirror to project patterns onto , reducing sizes from around 5–10 µm to below 3 µm while improving throughput. This evolution accelerated in the 1980s with the rise of wafer steppers, where Nikon launched its first g-line stepper in 1980 and its g-line stepper in 1984, stepping the projection field across the wafer to achieve uniform sub-micron patterns without full-wafer exposure. Deep ultraviolet (DUV) emerged in the late 1980s, employing excimer lasers at 248 nm to push resolutions below 1 µm, driven by Moore's 1965 observation that density would double approximately every two years, compelling annual improvements from 10 µm features in early 1970s ICs to sub-micron scales by the mid-1990s. Key industry collaborations further propelled these advances. ASML, founded in 1984 as a Philips-ASM International joint venture, specialized in commercializing step-and-scan systems, dominating DUV tools by the 1990s through innovations like higher numerical aperture lenses. Nikon contributed significantly with i-line steppers in 1984, enabling 0.8 µm resolutions and supporting Japan's lead in memory chip production. In response to Japanese dominance, the U.S. established the SEMATECH consortium in 1987, uniting 14 semiconductor firms with government funding to advance lithography R&D, including standards for stepper alignment and DUV integration, which helped restore American competitiveness. Subsequent decades saw continued scaling with DUV in the 2000s enabling features below 50 nm and the introduction of (EUV) in the 2010s, using 13.5 nm wavelengths for 7 nm nodes and below as of 2025, with high-NA EUV systems targeting sub-8 nm resolutions. These advancements, detailed further in subsequent sections, have sustained amid challenges in resolution and cost.

Fundamental Principles

Light-Matter Interaction in Patterning

In microlithography, the interaction between light and matter in materials begins with the of , which provide the to trigger photochemical reactions that modify the resist's and . This process is governed by , where the E of a single is determined by Planck's : E = h \nu Here, h is Planck's constant ($6.626 \times 10^{-34} J·s) and \nu is the light's , related to \lambda by \nu = c / \lambda with c as the . Shorter wavelengths thus yield higher photon energies, facilitating bond breaking or electronic excitations in resist molecules and enabling finer pattern resolution by supporting interactions at smaller scales. Various radiation wavelengths are employed, each with distinct interaction energies that influence the depth and type of photochemical effects. Ultraviolet (UV) light at 365 nm (i-line) delivers photons of approximately 3.4 eV, suitable for initial patterning but limited by lower energy for advanced nodes. Deep ultraviolet (DUV) extends to 248 nm (KrF excimer) and 193 nm (ArF excimer), with energies around 5.0 eV and 6.4 eV, respectively, allowing deeper penetration and more efficient reactions in organic resists. Extreme ultraviolet (EUV) at 13.5 nm provides high-energy photons of about 92 eV, capable of ionizing resist atoms and generating secondary electrons that amplify energy deposition through inelastic scattering. Photochemical reactions in photoresists vary by type, directly altering for selective . In positive-tone resists, such as poly(methyl methacrylate) (PMMA), photon absorption induces main-chain scission, fragmenting molecules and reducing molecular weight, which increases in developers like solvents for exposed regions. Conversely, negative-tone resists, exemplified by bis-azide systems with synthetic rubbers, undergo cross-linking upon photon-induced formation, making exposed areas insoluble and leaving unexposed regions to dissolve. These direct mechanisms, while effective for UV exposures, suffer from low at shorter wavelengths due to limited . To address sensitivity challenges, chemically amplified resists (CARs) were pioneered in the early 1980s at by Hiroshi Ito, C. Grant Willson, and Jean M. J. Fréchet, as detailed in their 1982 work on acid-catalyzed systems. CARs incorporate photoacid generators (PAGs), such as onium salts, which absorb s to produce Brønsted acids; during a post-exposure bake, these acids diffuse and catalyze chain reactions, like tert-butoxycarbonyl (t-BOC) deprotection in positive-tone variants, converting insoluble polymers to soluble ones with catalytic gain exceeding 100 reactions per . This results in dramatic contrasts—exposed areas dissolve in aqueous bases for positive tones—enabling efficient patterning at DUV and EUV wavelengths with doses as low as 10-30 mJ/cm². Negative-tone CARs similarly use acid to promote cross-linking, though positive systems dominate due to sharper profiles.

Resolution and Diffraction Limits

The resolution in microlithography is fundamentally limited by the physics of , which blurs fine patterns during . The criterion provides the primary metric for the minimum resolvable feature size, expressed as R = k_1 \frac{\lambda}{\mathrm{NA}}, where R is the (), \lambda is the of the illuminating , \mathrm{NA} is the of the lens, and k_1 is a process-dependent factor that accounts for design, properties, and conditions, typically ranging from 0.25 to 1.0. This formula highlights that improves linearly with shorter and higher , but practical limits arise from effects that prevent perfect of sub- features. Diffraction occurs as light waves passing through the mask and lens aperture interfere, creating an pattern that spreads point sources into blurred rings, reducing contrast for closely spaced features. In conventional coherent illumination, this interference leads to significant blurring at the limit, where the minima between features do not reach zero, making patterns indistinguishable. Partial coherence, quantified by the coherence factor \sigma (the ratio of illuminator NA to projection NA), mitigates this by averaging multiple diffraction orders, improving image fidelity; for instance, \sigma values around 0.8-0.9 balance and process latitude. Advanced illumination shapes, such as annular (off-axis) configurations with inner and outer \sigma rings (e.g., inner 0.6 and outer 0.9), further enhance for periodic patterns by selectively boosting higher diffraction orders, outperforming conventional circular illumination by up to 15% in line-width control, though at the cost of reduced . Scaling resolution beyond these diffraction constraints involves reducing \lambda and increasing NA, but each introduces trade-offs. Deep ultraviolet (DUV) lithography at 193 nm has been extended through immersion techniques, where a liquid medium (typically ) between the and boosts NA to 1.35, enabling half-pitch resolutions down to approximately 38 nm via the formula above with k_1 \approx 0.3. Transitioning to (EUV) at 13.5 nm reduces \lambda by over 14 times compared to DUV, theoretically allowing resolutions below 10 nm at NA = 0.33, but challenges include diminished (scaling as \frac{\lambda}{\mathrm{NA}^2}), increased sensitivity to defects, and higher in , necessitating environments and multilayer mirrors. These shifts have pushed feature sizes from 100 nm in early 2000s DUV systems to sub-5 nm in modern EUV, yet further amplifies noise in photoresists and overlay errors. To circumvent optical limits without hardware changes, process enhancements like optical proximity correction (OPC) and phase-shift masks (PSM) effectively lower k_1. OPC computationally modifies mask patterns—adding sub-resolution assist features or adjusting edge geometries—to pre-compensate for diffraction-induced distortions, achieving k_1 values as low as 0.34 for 0.18 μm nodes by optimizing aerial image intensity through simulation-based iterations. PSM introduces phase differences (e.g., 180°) in transmitted light via etched quartz regions, causing destructive interference that sharpens edges and boosts contrast; alternating PSM, for example, enables resolutions approaching 0.25 \frac{\lambda}{\mathrm{NA}} for dense lines, while attenuated PSM improves via-hole printing with minimal sidelobe artifacts. These techniques extend DUV viability to 7 nm nodes but increase mask complexity and computational demands.

Key Processes

Photolithography Techniques

Photolithography techniques form the cornerstone of microlithography for high-volume manufacturing, relying on optical and contact-based methods to transfer patterns from to photoresist-coated substrates. These approaches leverage light sources, such as mercury lamps or lasers, to achieve feature sizes down to the sub-micron scale, governed by the resolution limit expressed as R = k_1 \frac{\lambda}{NA}, where \lambda is the , NA is the , and k_1 is a process-dependent . Contact printing involves placing in direct physical contact with the to expose the , enabling straightforward pattern transfer through shadow printing. This method, first used for integrated circuits in 1958, offers simplicity and initially high , improving from 200 µm to 2 µm by 1974 via defect reduction and illumination enhancements. However, direct contact leads to mask wear, particle contamination, and defects, limiting its viability for advanced nodes. To mitigate these issues, proximity printing introduces a small , typically 20–50 µm, between the mask and , reducing mechanical damage while still allowing near-contact . This technique enhances through less coherent illumination and achieves features down to 2 µm, but effects at the gap degrade performance below 1 µm, confining it to coarser patterns in production. Both contact and proximity methods excel in cost-effectiveness for large-area patterning but are supplanted by projection systems for finer resolutions due to inherent limitations. Projection lithography addresses these constraints by using high-precision to demagnify and project pattern onto the , enabling sub-micron features across larger . Step-and-repeat systems, or steppers, expose the in discrete fields using reduction lenses with 4x or 5x demagnification, accommodating wafer diameters up to 300 mm while maintaining overlay accuracy below 10 nm. These systems, introduced in the , provide superior and defect control compared to contact methods but require multiple exposures for full-wafer coverage. Step-and-scan systems evolved from steppers to improve throughput and uniformity, synchronously scanning the mask and through a narrow slit illuminated by the projection lens, again employing 4x or 5x reduction for demagnification. This approach, prominent since the , supports larger effective field sizes (up to 26 mm × 33 mm) and better image fidelity for complex patterns, becoming the standard for nodes below 130 nm. The reduction optics, typically refractive lenses with up to 0.93 in dry systems, enhance feature control by minimizing mask defects' impact on the . Immersion lithography extends projection capabilities by filling the space between the final lens and with a medium, increasing the effective and without changing the . Introduced in the early 2000s amid delays in 157 nm lithography, it uses (refractive index n = 1.44 at 193 ) with ArF excimer lasers, reducing the effective wavelength to approximately 134 and enabling values up to 1.35 for 45 nm half-pitch features. This technique, first demonstrated in full-field scanners by , boosts by 17% over dry 193 nm systems while maintaining compatibility with existing , though it introduces challenges like fluid contamination and . Central to these techniques are mask technologies, which define the pattern fidelity. Binary masks, fabricated on chrome-on-glass () substrates, consist of opaque chrome patterns (about 100 nm thick) on transparent fused silica, modulating light amplitude alone through electron-beam or writing, development, , and stripping. These , standard since the 1970s, offer simplicity and low cost for features above 100 nm but suffer from in denser patterns. Phase-shift masks (PSMs) enhance by modulating both amplitude and , exploiting to sharpen images beyond binary limits. Alternating PSMs etch substrates to create 180° shifts, requiring dual steps for trench formation, while attenuated PSMs use semi-transparent (MoSiON, 70 thick) on for 6–10% transmission with inversion. Introduced in the for critical layers, PSMs enable 30–40% improvements at 193 but increase fabrication complexity and cost, typically reserved for high-volume devices. substrates, 6-inch squares of low-expansion , ensure dimensional stability during e-beam patterning and mounting.

Advanced Lithography Methods

Electron beam lithography (EBL) is a maskless, direct-write patterning technique that uses a finely focused beam of electrons to expose resist materials on a , enabling the creation of nanostructures with exceptional precision. The process involves raster-scanning or vector-scanning the electron beam across the surface, where high-energy electrons interact with the resist to induce chemical changes, followed by to reveal the pattern. EBL routinely achieves resolutions below 10 nm, with advanced resists supporting features in the single-nanometer regime, making it invaluable for research prototyping and custom device fabrication. However, its serial exposure mechanism—patterning one point at a time—severely limits throughput, often requiring hours or days for wafer-scale patterning, which restricts its use to low-volume applications rather than high-volume . Extreme ultraviolet lithography (EUVL) represents a major advancement in optical patterning by employing at a of 13.5 nm, which circumvents the resolution constraints of longer-wavelength deep systems. The light is generated via laser-produced sources, typically involving high-power lasers focused on tin droplets to create a hot that emits EUV photons, which are then collected and directed through reflective due to the strong absorption of EUV light in conventional lenses. This approach has demonstrated half-pitch resolutions as fine as 5 nm using specialized research tools, such as mirror interference lithography setups, supporting the fabrication of logic devices at advanced nodes like 3 nm and below. Advancements include high-numerical-aperture (high-NA) EUV systems with 0.55 NA, achieving resolutions down to 8 nm and supporting high-volume starting in 2025. A persistent challenge has been scaling source power to meet throughput demands, with early systems struggling below 250 W at the intermediate focus; however, as of 2024, commercial tools exceed this threshold, with projections reaching up to 800 W to enable high-volume with steps. Nanoimprint lithography (NIL) employs a mechanical process to replicate nanoscale patterns by pressing a rigid mold into a thin layer of deformable resist material on a , offering a non-optical alternative for high-resolution patterning. Pioneered by Stephen Chou in 1996, the technique creates thickness contrasts in the resist through , followed by to transfer the pattern, and can utilize either resists heated above their temperature for thermal NIL or photocurable liquids hardened by UV exposure for room-temperature processing. NIL achieves features below 10 nm with smooth vertical sidewalls and 25 nm resolution demonstrated in early work using 70 nm period templates, providing higher throughput than EBL while avoiding diffraction-related limitations of . Its parallel stamping mechanism supports large-area replication at low cost, though mold fabrication and defect control during demolding remain key engineering hurdles. Directed (DSA) harnesses the thermodynamic of block copolymers—amphiphilic polymers that phase-separate into periodic domains like lamellae or cylinders—to generate nanoscale patterns, guided by pre-existing lithographic templates for precise registration. In this hybrid approach, block copolymers such as polystyrene-block-polymethylmethacrylate (PS-b-PMMA) or high-interaction parameter variants like PS-b-PDMS are spin-coated onto substrates pre-patterned via 193 nm , then annealed to align domains via graphoepitaxy (topographic guiding) or chemoepitaxy (chemical affinity patterns), enabling density multiplication up to 4× for sub-10 nm features. DSA routinely produces line/space pitches as small as 6–9 nm using high-χ copolymers, with defect-free 12.5 nm patterns achieved through processes like thermal flow and lift-off, integrating seamlessly with existing optical tools to extend resolutions beyond the diffraction limit. Challenges include minimizing defects such as dislocations (targeting <1 per cm²) and optimizing etch selectivity for pattern transfer, but recent advancements in metrology and high-χ materials position DSA as a complementary technique for beyond-7 nm nodes.

Materials and Tools

Photoresists and Substrates

Photoresists serve as the light-sensitive patterning layers in microlithography, undergoing chemical transformations upon exposure to enable selective material removal during development. Traditional photoresists for g-line (436 nm) and i-line (365 nm) exposure are positive-tone, novolac-based systems sensitized with diazonaphthoquinone (DNQ), where exposure generates a soluble indene carboxylic acid that dissolves in alkaline developers. In contrast, chemically amplified resists (CARs), predominant for deep ultraviolet (DUV, 193 nm) and extreme ultraviolet (EUV, 13.5 nm) lithography, incorporate photoacid generators (PAGs) that release acids to catalytically deprotect polymer chains, amplifying the exposure signal for enhanced efficiency. The performance of photoresists is characterized by sensitivity curves, which plot remaining film thickness against logarithmic exposure dose, revealing the dose required for complete dissolution (D_f) and the onset of development (D_0). Contrast, denoted by the gamma value γ = [log_{10}(D_f / D_0)]^{-1}, measures the steepness of this transition; values γ > 3 yield high-resolution patterns by sharply delineating exposed and unexposed regions, minimizing sidewall roughness. Typical g- and i-line DNQ/novolac resists exhibit γ ≈ 2–3 with D_f ≈ 100 mJ/cm², while DUV CARs achieve γ = 5–10 and D_f ≈ 20–40 mJ/cm², supporting sub-100 nm features. Substrates in microlithography primarily consist of wafers, which provide a stable, reflective base for resist deposition and subsequent processing. Prime wafers are highly polished, low-defect single-crystal substrates suitable for standard patterning, while epitaxial (epi) wafers feature a thin, high-purity layer grown via on a prime base, offering superior electrical uniformity for advanced devices. To mitigate standing waves— patterns arising from reflections at the substrate-resist that cause thickness-dependent exposure variations—anti-reflective coatings (ARCs) are applied as thin underlayers, absorbing light to reduce reflectivity below 5% and improve control. Photoresist films are typically applied via spin-coating, where the wafer is rotated at 2000–6000 rpm to dispense and spread the viscous solution, yielding uniform thicknesses of 0.5–2 µm essential for consistent exposure across large areas. Following coating, a soft bake at 90–115°C for 30–60 seconds on a hot plate or in a convection oven evaporates solvents (e.g., propylene glycol monomethyl ether acetate) to stabilize the film, while in CARs, post-exposure bakes at 110–150°C control acid diffusion lengths (typically 10–20 nm) to sharpen patterns without blurring. Airborne molecular contaminants (AMCs), such as amines or hydrocarbons at part-per-billion levels, can adsorb onto freshly coated resists, neutralizing acids in or inducing , thereby elevating defect densities by up to 50% in patterned features. Cleanroom controls, including chemical filters, mitigate these effects to maintain yield. The evolution of photoresists traces from DNQ-sensitized novolac systems in the 1970s, which enabled micron-scale features, to introduced in the 1980s for DUV scaling, and onward to metal-oxide resists (e.g., Hf- or Zr-based clusters) for EUV, leveraging secondary generation for sensitivities below 20 mJ/cm² and resolutions under 10 nm.

Exposure Systems and Equipment

Exposure systems in microlithography rely on precise light sources to deliver the necessary wavelengths for patterning features on semiconductor wafers. Traditional systems employed mercury arc lamps, which emit ultraviolet light at the i-line wavelength of 365 nm, enabling resolutions down to approximately 220 nm when combined with narrowband filters. These lamps were widely used in early projection lithography tools due to their broad spectral output with strong emission lines in the UV range. As feature sizes shrank, excimer lasers became essential; krypton fluoride (KrF) lasers operate at 248 nm for deep ultraviolet (DUV) lithography, supporting nodes down to 80 nm, while argon fluoride (ArF) lasers at 193 nm extend capabilities to 38 nm resolutions in immersion systems. For extreme ultraviolet (EUV) lithography, sources generate 13.5 nm light via laser-produced plasma (LPP) methods, where high-power CO2 lasers vaporize tin droplets at rates up to 50,000 per second, or through synchrotron radiation in research settings, enabling sub-10 nm patterning essential for advanced nodes. Alignment and overlay mechanisms ensure accurate pattern registration across multiple layers, critical for maintaining device performance. Interferometric systems use laser-based phase detection on alignment marks to achieve positioning accuracies below 5 , leveraging stable optical references for feedback. Image-based alignment complements this by capturing and processing wafer mark images via (CCD) sensors, enabling sub-2 overlay in modern tools through advanced algorithms that account for mark asymmetry and process variations. Wafer stages incorporate for frictionless motion, providing sub-nanometer precision and accelerations up to 7 g without inducing vibrations that could blur patterns. System architectures, such as wafer steppers and scanners, integrate these components for high-volume production. Step-and-scan systems like ASML's TWINSCAN series employ dual wafer stages—one for and one for —to optimize throughput, achieving over 300 wafers per hour for 300 mm wafers at 193 nm wavelengths. These platforms use variable (0.70–0.93) and polarized illumination to resolve features down to 57 nm while maintaining overlay below 4.5 nm. Metrology integration within exposure systems enables in-situ process control to monitor critical dimensions (CD) and ensure yield. Critical dimension scanning electron microscopes (CD-SEM) provide high-resolution imaging of patterned features directly after exposure, detecting deviations in line widths and profiles with nanometer accuracy. Scatterometry, an optical technique, analyzes diffracted light from periodic structures to infer 3D profiles non-destructively, supporting real-time adjustments during lithography runs. These tools operate in Class 1 cleanrooms, which limit airborne particles larger than 0.1 µm to fewer than 35 per cubic foot under ISO 14644-1 Class 3, preventing contamination that could defect wafers.

Applications

Integrated Circuit Fabrication

Microlithography plays a pivotal role in the front-end-of-line (FEOL) processes of () fabrication, where structures are defined on wafers. patterning for metal-oxide-semiconductor field-effect s (MOSFETs) traditionally relies on deep ultraviolet (DUV) at wavelengths around 193 nm to achieve precise and feature sizes down to tens of nanometers. This process involves coating the wafer with , exposing it through a to transfer the , and developing to form the resist image, followed by to define the . DUV enables high-throughput patterning for and devices, supporting to nodes like 28 nm and above, though it requires enhancement techniques such as off-axis illumination for finer control. For more advanced nodes, such as 7 nm, (FinFET) structures demand enhanced resolution beyond standard DUV capabilities, often achieved through self-aligned double patterning (SADP), a form of sidewall image doubling. In SADP, a sacrificial spacer layer is deposited and etched along the sidewalls of a pre-patterned , effectively doubling the density to form narrow fins typically 7-10 nm wide with pitches around 30-40 nm. This technique ensures uniform fin heights and minimizes overlay errors, critical for multi-fin transistors that improve drive current and reduce short-channel effects in chips. FinFET adoption at 7 nm, as implemented by foundries like and , has enabled over 30% density improvements compared to planar MOSFETs while maintaining electrostatic control. In the back-end-of-line (BEOL), microlithography facilitates the creation of multi-level interconnects using processes integrated with low-k to minimize signal delay and power consumption. is electroplated into trenches and vias etched into low-k materials ( constant k ≈ 2.2-3.0), such as porous organosilicate glasses, which reduce compared to traditional (k=3.9). The dual approach patterns both vias and trenches in a single sequence, typically using DUV or (EUV) exposure to define aligned features, followed by etch and fill steps. This method supports interconnect pitches scaling to 20-30 , enabling complex routing in high-speed processors without excessive resistance. Low-k integration has been key since the 90 , with optimizations like ensuring mechanical stability during chemical-mechanical polishing. Modern integrate over 100 mask layers across FEOL and BEOL to construct intricate 3D architectures, with BEOL alone featuring 10-15 metal levels for hierarchical wiring that distributes signals and power efficiently. (CD) control in these processes targets variations below 3% of nominal feature size—often achieving CD uniformity (CDU) of 1-2 nm across a —to ensure consistent electrical performance and avoid parametric failures. For instance, at 5 nm nodes, CDU specifications for gates and vias are tightened to sub-1.5 nm (3σ), using advanced like scatterometry and model-based corrections during exposure. Multi-layer stacking demands precise overlay below 2 nm to prevent shorts or opens, with EUV increasingly adopted for its superior in dense interconnects. Yield in IC fabrication is significantly influenced by microlithography-induced defects, including airborne particles that cause bridging or opens, and line-edge roughness (LER) from stochastic effects in photoresist exposure, which can degrade transistor threshold voltage uniformity by up to 50 mV. Particles larger than 20 nm, often from cleanroom contamination, reduce yield by 1-5% per defect density level, necessitating aggressive filtration and inspection. LER, quantified as 1-2 nm (3σ) in advanced resists, amplifies variability in fin and gate CDs, impacting drive current and contributing to systematic yield loss in high-volume production. These challenges are acute in scaling to 3 nm nodes, as seen in TSMC's N3 process, which entered high-volume manufacturing in 2022 and, as of 2025, its enhanced variant N3P supports 10-15% speed improvements at the same power or 25-30% power reduction at the same speed through EUV-based patterning of ~25-28 layers, enabling denser transistors while mitigating defect impacts via improved source power and resist formulations. As of April 2025, N3P entered volume production, offering 5% higher performance at the same power compared to N3E.

Micro- and Nanoscale Devices

Microlithography plays a pivotal role in the fabrication of micro- and nanoscale devices outside integrated circuits, enabling the creation of specialized structures for sensing, , and biomedical applications through precise patterning of materials at sub-micron resolutions. These devices leverage techniques like , (EBL), and variants to define features that integrate mechanical, optical, or fluidic functionalities, often achieving aspect ratios and tolerances unattainable by conventional . By combining microlithographic patterning with and deposition processes, researchers have developed robust platforms for diverse fields, from inertial sensors to lab-on-chip systems, where device performance hinges on nanoscale precision. In microelectromechanical systems (MEMS), microlithography facilitates surface and bulk micromachining to produce intricate movable structures integrated with electronics. Surface micromachining involves depositing sacrificial layers, such as silicon dioxide, patterned via photolithography, followed by structural layers like polysilicon to form suspended components; for instance, accelerometers are fabricated by releasing proof masses and beams through selective etching of these layers, enabling high-sensitivity detection of linear acceleration with resolutions down to micronewtons. Bulk micromachining, on the other hand, etches directly into the substrate using deep reactive ion etching (DRIE) after lithographic masking, allowing for thicker, three-dimensional features like cavities in silicon wafers for pressure sensors or gyroscopes, with etch depths up to 450 μm while maintaining near-vertical sidewalls with tapers better than 5°. These methods have enabled commercial MEMS accelerometers, such as those in automotive airbag systems, by combining photolithographic alignment with post-exposure plasma etching for reliable release and undercutting. Nanophotonics benefits from microlithography's ability to pattern periodic and aperiodic structures that manipulate light at wavelengths below the diffraction limit, particularly through EBL for high-fidelity nanoscale features. Photonic crystals, consisting of lattices with periods around 200-500 nm, are fabricated using EBL to expose resist patterns that define air holes or pillars in materials like , enabling bandgap engineering for low-loss waveguides and filters with quality factors over 10^5. Plasmonic structures, such as nanoparticle arrays or nanorods spaced at 50-100 nm, are similarly patterned via EBL lift-off processes to couple surface plasmons for enhanced light-matter interactions, achieving field enhancements up to 100-fold in sensing applications. Sub-wavelength gratings, patterned with EBL resolutions below 20 nm, serve as compact waveguides by diffracting light into guided modes, supporting integrated photonic circuits with propagation losses under 1 dB/cm. These EBL-based approaches have been instrumental in realizing compact nanophotonic devices like all-optical switches. Biomedical applications of microlithography center on creating microfluidic channels and lab-on-chip devices that handle fluids at microliter scales for diagnostics and . , a variant using photolithographically defined masters, replicates patterns into (PDMS) molds through replica molding, producing flexible channels with widths as small as 10 μm and depths up to 100 μm for precise fluid control without leakage. These PDMS structures enable lab-on-chip platforms that integrate mixing, separation, and detection, such as droplet-based assays for , where channel geometries dictate flow rates and reagent distribution with laminar precision. The of PDMS, combined with 's scalability, has facilitated devices like organ-on-chip models simulating vascular or neural tissues, reducing needs. Emerging devices, including quantum dots and nanowires for , rely on microlithography to achieve nanoscale patterning for enhanced efficiency in LEDs and solar cells. Quantum dots, patterned via into arrays with 50-100 nm spacing, enable color-tunable emission in displays by selectively exposing halide s to define pixelated regions, supporting external quantum efficiencies exceeding 20%. Nanowires, aligned and contacted using EBL or , form vertical structures in GaN-based LEDs with diameters below 200 nm, improving light extraction and reducing threading dislocations for brighter emission at lower voltages. In solar cells, films patterned to 20 nm resolutions via direct minimize recombination losses, yielding power conversion efficiencies over 25% in tandem configurations. These techniques, often referencing for high-throughput replication, underscore microlithography's evolution toward and display technologies.

Challenges and Future Developments

Technical Limitations and Solutions

One of the primary technical limitations in microlithography arises from stochastic effects in (EUV) lithography, particularly due to the low counts absorbed in photoresists at advanced nodes. This Poisson-distributed fluctuation in arrival leads to variations in the deprotection process, manifesting as line-edge roughness (LER) and line-width roughness (LWR), which degrade pattern fidelity. At 3 nm technology nodes, LER values exceeding 2 nm have been observed, compromising control and increasing variability in performance. To mitigate these stochastic effects, increasing the EUV exposure dose is a widely adopted strategy, as higher counts reduce the relative impact of . Doses above 30 mJ/cm² have been shown to suppress LER and stochastic defects effectively, though this comes at the expense of throughput and requires enhanced source power. Complementary approaches include optimizing resist chemistry with higher absorption materials and quenchers to minimize secondary blur and chemical fluctuations. In (EBL), a key trade-off exists between and throughput, stemming from its serial exposure nature, where patterns are written point-by-point using a single beam. This limits production rates to less than 1 per hour for high-resolution features below 10 , making EBL impractical for volume manufacturing despite its superior pattern fidelity. Solutions to this bottleneck involve multi-beam EBL systems, which parallelize using arrays of thousands of beamlets to boost throughput while maintaining nanoscale . For instance, IMS Nanofabrication's multi-beam writer employs 262,000 programmable beams, achieving currents up to 1 µA and enabling throughputs of several wafers per hour for mask writing and prototyping at sub-10 nm nodes. These systems address the serial limitation through electrostatic deflection and data-path innovations, though challenges in beam uniformity and overlay persist. Cost barriers represent another significant hurdle, particularly for EUV systems, where individual tools exceed $150 million due to the complexity of vacuum chambers, optics, and high-power sources. This high restricts adoption to major foundries and amplifies the financial risk of technology transitions. development has been crucial for addressing particle contamination in EUV, as even sub-micron defects on can cause yield-killing print-through. Traditional pellicles were incompatible with EUV due to and issues, but recent advancements in thin or carbon-based membranes achieve over 90% at 13.5 nm while withstanding scanner vacuum and heat loads up to 500 W. These pellicles enable defect-free exposures by isolating the mask from ambient particles, improving overall tool uptime and economic viability. Environmental and safety challenges in microlithography are pronounced in EUV, which mandates environments (around 10^{-6} ) to prevent absorption of the 13.5 nm by air molecules, complicating handling and increasing system complexity. Additionally, EUV light sources rely on laser-produced tin plasmas, with gas used for debris mitigation, generating plasmas that pose hazards such as electrostatic charging, material erosion, and potential ignition risks from high-energy ions and radicals. Safety protocols include robust containment, monitoring, and purging to manage these risks during operation and maintenance.

Emerging Innovations

One of the most anticipated advancements in microlithography is the development of high (NA) (EUV) systems, exemplified by ASML's TWINSCAN EXE:5000 platform with a 0.55 NA optic. This system achieves an 8 in a single exposure, enabling denser chip features without multi-patterning techniques. The first systems were shipped in late 2023, with deployment and initial use beginning in 2024, and full production scaling targeted for 2025-2027 to support sub-10 nodes. As of 2025, leads in adoption for its advanced nodes including 18A and 14A, has ordered systems for 2 processes, while is testing but plans to use low-NA EUV for its 1.4 node. A key innovation is the use of anamorphic , which provide a 4x reduction in one dimension while maintaining 8x in the other, optimizing field size and contrast for complex patterns. Maskless lithography represents a shift toward flexible, mask-free patterning through computational methods integrated with pixelated mirror arrays, such as (DLP) technology based on digital micromirror devices (DMDs). These systems use millions of micromirrors to dynamically project patterns, eliminating the need for physical photomasks and enabling rapid design iterations for prototyping. Research demonstrates potential for resolving features down to 100 , particularly in advanced and micro-optics, by leveraging high-speed mirror and computational corrections for diffraction effects. Hybrid approaches combining directed (DSA) of block copolymers with EUV lithography offer a pathway to extend resolutions beyond pure optical limits, achieving half-pitches as small as 5 nm. In this method, EUV pre-patterns guide the self-organization of block copolymers into sub-10 nm domains, reducing defects and EUV dose requirements while enhancing pattern fidelity. For niche applications demanding ultra-high resolutions, ion-beam lithography provides sub-10 nm line widths using focused ion sources, suitable for custom nanostructures and mask repair. Similarly, , often via proximity or projection systems, targets sub-100 nm patterning in specialized high-aspect-ratio features, leveraging short wavelengths for minimal diffraction. Sustainability efforts in microlithography are driving the adoption of greener materials, such as water-soluble photoresists derived from bio-sourced polymers like or silk fibroin, which eliminate organic solvents in processing. These resists enable development with water, reducing and while maintaining compatibility with deep ultraviolet (DUV) exposure at 193 nm. Complementing this, (AI)-optimized process control is enhancing efficiency by predicting defects and adjusting parameters in real-time, with models demonstrating up to 30% improvements in pattern uniformity that indirectly lower energy consumption through reduced rework.

References

  1. [1]
    Semiconductor Lithography (Photolithography) - The Basic Process
    Fundamental to all IC manufacturing processes is lithography, the formation of 3D images for subsequent transfer of the pattern to the substrate.
  2. [2]
    Microlithography - an overview | ScienceDirect Topics
    The basic process involved is to spin a thin layer of photoresist, a photosensitive materials, on to the semiconductor substrate. When this layer is exposed to ...
  3. [3]
    MICROLITHOGRAPHY | McGraw-Hill Education - Access Engineering
    Optical microlithography is basically a photographic process by which a light sensitive polymer, called a photoresist, is exposed and developed to form three- ...<|control11|><|separator|>
  4. [4]
    EUV lithography systems – Products - ASML
    EUV lithography does big things on a tiny scale. The technology, which is unique to ASML, prints microchips using light with a wavelength of just 13.5 nm ...
  5. [5]
    High-NA-EUV: New technology for global microchip production
    Jan 30, 2024 · The worldwide unique High-NA-EUV lithography sets new standards for the production of state-of-the-art microchips.
  6. [6]
    Journal of Micro/Nanopatterning, Materials, and Metrology
    ### Overview of Microlithography from the Journal of Micro/Nanopatterning, Materials, and Metrology
  7. [7]
    Extreme Ultraviolet Lithography Market Size Report, 2030
    The global extreme ultraviolet (EUV) lithography market size was estimated at USD 10159.5 million in 2024 and is projected to reach USD 26436.1 million by ...Market Size & Forecast · End Use Insights · Regional Insights
  8. [8]
    [PDF] MICROLITHOGRAPHY 2004 - Cornell NanoScale Facility
    imaging technology used in manufacturing semiconductor components. It is used today for high volume production of products demanding features of less than 1 µm.
  9. [9]
    Untitled Document
    **Summary of Microlithography from https://henderson.chbe.gatech.edu/Introductions/microlithography%20intro.htm:**
  10. [10]
    Handbook of Microlithography, Micromachining, and ...
    View Excerpt + EXCERPT. Microlithography and microfabrication are rapidly finding application in many areas, from sensors and actuators to biomedical devices, ...
  11. [11]
    The Development of Photomechanical Printing Processes in the ...
    Joseph Nicephore Niepce began experimenting with light sensitive resins in the early 19th century. The first photomechanical image produced was his well known ...
  12. [12]
    Lithography in the Nineteenth Century
    Oct 1, 2004 · Lithography was invented around 1796 in Germany by an otherwise unknown Bavarian playwright, Alois Senefelder.
  13. [13]
    US2890395A - Semiconductor construction - Google Patents
    United States Patent SEMICONDUCTOR CONSTRUCTION Jay La'throp and James R. Nall, Silver Spring, Md., assignors to the United States of America as represented by ...
  14. [14]
    1955: Photolithography Techniques Are Used to Make Silicon Devices
    In an early attempt to miniaturize electronic circuits in 1957, Jay Lathrop and James Nall of the U.S. Army's Diamond Ordnance Fuse Laboratories in Maryland ...
  15. [15]
    1960: First Planar Integrated Circuit is Fabricated | The Silicon Engine
    Fairchild presented advanced information at engineering conferences and provided prototype samples to customers in 1960. Under the trade name µLogic (Micrologic) ...Missing: photolithography | Show results with:photolithography
  16. [16]
    Perkin Elmer - Micralign Projection Mask Alignment System
    Apr 7, 2009 · Summary : The Intel secret weapon that turned the industry upside-down and revolutionized lithography. Perkin Elmer - Micralign Projection Mask ...
  17. [17]
    History | Semiconductor Lithography Systems | Nikon Business
    Production space is expanded for specialized stepper plant within the Yokohama Plant. 1984 ... Sendai Nikon Precision Corporation is established. NSR-S620D ( ...Missing: ASML contributions SEMATECH
  18. [18]
    (PDF) Evolution of light source technology to support immersion and ...
    Aug 9, 2025 · With lithography tools developed from steppers to scanners, deep ultraviolet (DUV) excimer lasers with wavelength of 248 and 193 nm are ...
  19. [19]
    Our history | ASML - Supplying the semiconductor industry
    ASML's history is rich with innovation and collaboration. Read our history to learn how we've grown into the semiconductor industry leader we are today.Missing: Nikon contributions SEMATECH
  20. [20]
    Chapter: SEMATECH Revisited: Assessing Consortium Impacts on ...
    14 US semiconductor manufacturers formed the SEMATECH (for semiconductor manufacturing technology) R&D consortium with the support of the US government.
  21. [21]
    Energy of Photon - PVEducation.org
    There is an inverse relationship between the energy of a photon (E) and the wavelength of the light (λ) given by the equation: Photon Energy · E = h c λ.
  22. [22]
    All about light and lasers in lithography
    ### Summary of UV, DUV, and EUV Wavelengths in Lithography
  23. [23]
    Unraveling the reaction mechanisms in a chemically-amplified EUV ...
    Apr 22, 2025 · The EUV light sources used in EUV lithography typically have wavelength of 13.5 nm, corresponding to photon energy of about 92 eV. The short ...
  24. [24]
    Photoresist Material - an overview | ScienceDirect Topics
    Photoresist is a photosensitive substance that forms relief images upon exposure to light, and can be either positive or negative.
  25. [25]
    Recent Advances in Positive Photoresists: Mechanisms and ... - MDPI
    Furthermore, photo-induced chain scission within the poly(olefin sulfone) backbone triggers depolymerization, resulting in a transition in the molecular weight ...<|separator|>
  26. [26]
    [PDF] Chemical amplification resists: History and development within IBM
    Chemical amplification resists were invented at IBM Research and used in DRAM production in the mid-1980s, and are used in short-wavelength lithography.Missing: PAGs | Show results with:PAGs
  27. [27]
    The Limits of Optical Lithography - SPIE Digital Library
    10.1 The diffraction limit The argument that optical lithography has limited resolution is based upon Rayleigh's scaling laws of resolution and depth-of-focus.Missing: fundamentals | Show results with:fundamentals
  28. [28]
    Rayleigh or Abbe? Origin and naming of the resolution formula of ...
    Nov 6, 2020 · Hence, the Rayleigh criterion simply implies that the discernable separation of two neighboring lines is 0.5λNA 0.5 λ NA . The same criterion ...
  29. [29]
    [PDF] OFF-AXIS ILLUMINATION FOR EXTENDING OPTICAL ...
    The actual practical partially coherent illumination resolution limit was. 0.35 microns, while the annular off-axis was 0.30 microns. This is a 14% resolution.
  30. [30]
    [PDF] Binary mask optimization for inverse lithography with partially ...
    Annular illuminations are classified by the sizes of their inner and outer partial coherence factors. The larger the partial coherence factor, the higher the ...<|control11|><|separator|>
  31. [31]
    Performance of a 1.35NA ArF immersion lithography system for ...
    This tool features a projection lens based on the already proven in-line catadioptric lens concept but with an enhanced, industry leading NA of 1.35. In this ...
  32. [32]
    5 things you should know about High NA EUV lithography - ASML
    Jan 25, 2024 · EUV lithography allowed us to make a big turn of the wavelength knob: It uses 13.5 nm light, compared to 193 nm for the highest-resolution DUV ...Missing: scaling | Show results with:scaling
  33. [33]
    Extreme-Ultraviolet Lithography - an overview | ScienceDirect Topics
    An incident 13.5-nm EUV photon has about 92 eV of energy compared to 5.0 and 6.4 eV for the DUV wavelengths of 248 and 193 nm, respectively. This energy ...
  34. [34]
    [PDF] Fast Optical and Process Proximity Correction Algorithms for ...
    Optical Proximity Correction (OPC) is used in lithography to increase the achiev- able resolution and pattern transfer fidelity for IC manufacturing.
  35. [35]
    Phase-Shift Masks - SPIE
    Phase-shift masks (PSMs) work by adding phase information to the mask in addition to amplitude information.
  36. [36]
    Optical proximity correction of alternating phase-shift masks for 0.18 ...
    Optical proximity correction (OPC) was applied to alternating phase shift masks to improve printed resist pattern fidelity. Mask patterns were modified with ...
  37. [37]
    [PDF] Optical lithography : here is why / Burn J. Lin. - SPIE
    The step-and-repeat feature is used to accommodate the ever-increasing wafer size in order to overcome the limited image field size of a projection system. This ...
  38. [38]
    Microlithography: from contact printing to projection systems - SPIE
    Feb 1, 1997 · People kept improving contact printing by reducing defects and enhancing resolution. Actually, they changed to proximity printing because ...Missing: photolithography | Show results with:photolithography
  39. [39]
    [PDF] Immersion Lithography
    Feb 9, 2022 · Immersion Lithography and Its Challenges. 13. Table 1.1 193-nm lithography tools with NA = 0.75–1.35 have been used in IC manufacturing. The ...
  40. [40]
    None
    ### Summary of Binary Masks and Phase-Shift Masks in Photolithography
  41. [41]
    New Generation Electron Beam Resists: A Review
    ### Summary of Electron Beam Lithography (EBL) from https://pubs.acs.org/doi/10.1021/acs.chemmater.6b03483
  42. [42]
    Extreme ultraviolet lithography reaches 5 nm resolution
    Aug 12, 2024 · This was achieved by reducing the wavelength to 13.5 nm that falls in the extreme ultraviolet (EUV) range. EUV lithography entered HVM in 2019 ...Results · Euv Mirror Interference... · Introduction<|separator|>
  43. [43]
    Imprint Lithography with 25-Nanometer Resolution - Science
    A high-throughput lithographic method with 25-nanometer resolution and smooth vertical sidewalls is proposed and demonstrated.Missing: original | Show results with:original
  44. [44]
    Nanoimprint lithography: An old story in modern times? A review
    Mar 27, 2008 · Nanoimprint lithography (NIL) is a high throughput, high-resolution parallel patterning method in which a surface pattern of a stamp is replicated into a ...
  45. [45]
    Directed self-assembly of block copolymers for next generation ...
    Directed self-assembly (DSA) of block copolymers (BCPs) generates laterally ordered, periodic arrays of self-assembled spheres, cylinders, or lamellae.
  46. [46]
    Review of Directed Self-Assembly Material, Processing, and ... - MDPI
    Directed self-assembly (DSA) lithography, a cutting-edge technology based on the self-assembly of block copolymers (BCPs), has received significant attention ...
  47. [47]
    None
    ### Summary of Photoresist Contrast Gamma and Sensitivity Curves
  48. [48]
    [PDF] Exposure of Photoresists - MicroChemicals
    Exposure of photoresists is key in microstructuring, changing solubility. Techniques include mask aligners, steppers, and laser direct writing.Missing: scission | Show results with:scission
  49. [49]
    Evolution in Lithography Techniques - PubMed Central - NIH
    We have carried out a review on different types of lithographic techniques such as optical lithography, extreme ultraviolet lithography, electron beam ...<|control11|><|separator|>
  50. [50]
    Photoresist Contrast Curves: Gamma Value vs. Process Window
    Jul 28, 2025 · Increasing the gamma value enhances the contrast, yielding higher resolution; however, it simultaneously narrows the process window. This ...
  51. [51]
  52. [52]
    Epitaxial vs. Standard Silicon Wafers: Which One Do You Need?
    Aug 22, 2025 · Epitaxial wafers offer significant advantages over standard silicon wafers in terms of electrical performance. The controlled purity and ...
  53. [53]
    [PDF] Chemical Amplification Resists for Microlithography
    The concept of chemical amplification was proposed by Ito, Willson, and ... Willson CG (1994) In: Thompson LF, Willson CG, Bowden MJ (eds) Introduction to.
  54. [54]
    [PDF] Characterization and Optimization of a Bi-Layer BARC
    Throughout the history of semiconductor-based lithography, standing wave effects have been seen due to the high reflectivity of the silicon substrate. As the ...Missing: prime epi<|separator|>
  55. [55]
    [PDF] Spin-coating of Photoresists - MicroChemicals
    Principle of Spin-coating. In this coating technique, typically a few ml of resist are placed (dispensed) on a substrate which is typically brought.Missing: diffusion | Show results with:diffusion
  56. [56]
    [PDF] Post Exposure Bake (PEB) - MicroChemicals
    The necessary times and temperatures do not depend on the resist film thickness, but on the photoresist used and are typically 110-130°C for a few minutes. The ...
  57. [57]
    Recent progress of inorganic photoresists for next-generation EUV ...
    Sep 16, 2024 · This review summarizes the research on inorganic EUV photoresists and outlines strategies to boost the lithographic performance and tackle future challenges.
  58. [58]
    Light & lasers - Lithography principles - ASML
    EUV lithography, a technology entirely unique to ASML, uses light with a wavelength of 13.5 nanometers. This wavelength is more than 14 times shorter than DUV ...Mercury Lamps: From Blue To... · Lasers And Duv · Krf: The Duv Dawn
  59. [59]
    Lasers and Moore's Law - SPIE
    Oct 1, 2010 · Initially, mercury arc lamps were used as light sources of lithography exposure tools. Narrowband filters were used to select single emission ...
  60. [60]
    Wafer alignment measurement in lithography systems based on ...
    Oct 30, 2025 · To achieve high overlay accuracy during integrated circuit manufacturing, high-performance wafer alignment is a critical enabling technology.
  61. [61]
    Measuring accuracy - Lithography principles - ASML
    In wafer metrology, key manufacturing parameters such as overlay (the accuracy with which two layers of a chip are aligned) and focus (how sharp the image is) ...More About · Optical Metrology · E-Beam Metrology And...Missing: interferometric | Show results with:interferometric
  62. [62]
    Mechanics & mechatronics - Lithography principles - ASML
    For that to happen, the magnetically levitating wafer tables that hold the wafer in place inside the system accelerate at up to 7 g without causing vibration ...
  63. [63]
    TWINSCAN NXT:1470 - DUV lithography machines | ASML
    The ASML TWINSCAN NXT:1470 is the semiconductor industry's first lithography machine to go beyond 300 wafers per hour.Missing: metrics | Show results with:metrics
  64. [64]
    Metrology, Inspection, and Process Control for Microlithography XIX
    The challenging metrology application for scatterometry and CD-SEM is to accurately measure both CD and profile. To apply this metrology specifically to ...
  65. [65]
    [PDF] Real time scatterometry: a new metrology to in situ ... - HAL
    In line process control requires real time, non destructive and non-invasive monitoring techniques. The conventional CD metrology technique such as AFM (Atomic ...
  66. [66]
    Cleanroom Classifications – Classes 1, 10, 100, 1000, 10000, and ...
    This article explains FS209E cleanroom classifications. Learn the differences between Cleanroom Classes 1, 10, 100, 1000, 10000, and 100000.<|control11|><|separator|>
  67. [67]
  68. [68]
  69. [69]
    Semi-damascene metallization | imec
    Oct 31, 2024 · In 1997, the introduction of Cu dual-damascene integration schemes in the back-end-of-line (BEOL) of logic and memory chips marked an ...
  70. [70]
    Semiconductors have a big opportunity—but barriers to scale remain
    Apr 21, 2025 · A bar chart shows how the number of mask layers increases as chip node sizes decrease. ... 100 nanometers or bigger have 30 or fewer mask layers.Missing: modern | Show results with:modern
  71. [71]
    Advanced CD uniformity correction using radial basis function (RBF ...
    May 26, 2022 · Critical dimension uniformity (CDU) control using dose correction is well established and has relied on traditional polynomial models like ...
  72. [72]
    2024 IRDS Yield Enhancement
    The wafer edges and backside surface were identified to show significant impact on yield.
  73. [73]
    3nm Technology - Taiwan Semiconductor Manufacturing
    N3 technology is the industry's most advanced process technology, offering the best performance, power, and area. It achieves a full-node advancement over the ...
  74. [74]
    Polysilicon integrated microsystems: technologies and applications
    Monolithic polysilicon integrated sensors for the X, Y, and Z components of linear acceleration, angular rate, and angular acceleration have been demonstrated.
  75. [75]
    High-resolution electron beam lithography for the fabrication of high ...
    Electron beam lithography (EBL) has extremely high-resolution capabilities, and can be used to fabricate optical and electronic devices with nanoscale features.
  76. [76]
    Fabrication of nanoscale plasmonic structures and their applications ...
    Fabrication of two-dimensional hybrid photonic crystals utilizing electron beam lithography. Microelectron Eng. 2005; 78–79:442–447. Article Google Scholar.
  77. [77]
    Controlling evanescent waves using silicon photonic all-dielectric ...
    May 14, 2018 · Plasmonic waveguides can strongly reduce cross-talk and bending loss owing to the sub-diffraction nature of light coupling to the free electrons ...
  78. [78]
    Design and Fabrication of Low-cost Microfluidic Channel for ... - Nature
    Jun 8, 2020 · Soft lithography technique is used for the channel fabrication. The master was realized on PCB board using tonner transfer technique followed ...
  79. [79]
    Recent advances of photolithography patterning of quantum dots for ...
    This review article presents the recent advancements in the field of QD patterning using photolithography techniques and explores their applications in micro- ...Missing: solar cells
  80. [80]
    Recent Advances in Patterning Strategies for Full-Color Perovskite ...
    Dec 7, 2023 · This article reviews the recent progress in the patterning techniques of metal halide perovskites for full-color displays.
  81. [81]
    Direct in situ photolithography of perovskite quantum dots based on ...
    Nov 7, 2022 · We report a direct in situ photolithography technique to pattern PQDs based on the photopolymerization catalyzed by lead bromide complexes.Missing: nanowires | Show results with:nanowires
  82. [82]
    New resist and underlayer approaches toward EUV lithography
    Oct 11, 2018 · ... line-edge roughness (1-3nm) with reasonable EUV sensitivity. We also discuss their high etch selectivity to a PiBond's SOC organic ...
  83. [83]
    3nm Patterning Challenges with EUV Lithography
    Aug 31, 2024 · EUV 0.33NA line patterning at 3nm minimum pitch (≤24 nm) is sensitive to pupil rotation, which will only allow narrow width chips to be exposed ...
  84. [84]
    The Challenge of Working with EUV Doses - SemiWiki
    Oct 25, 2021 · Low EUV doses (30-45 mJ/cm2) may not prevent defects, and higher doses (53 mJ/cm2) reduce throughput. Absorbed dose and resist type also affect ...
  85. [85]
    Shot noise, LER, and quantum efficiency of EUV photoresists
    Aug 9, 2025 · The shot noise, line edge roughness (LER) and quantum efficiency of EUV interaction with seven resists related to EUV-2D (SP98248B) are ...<|control11|><|separator|>
  86. [86]
    Future trends in high-resolution lithography - ScienceDirect.com
    Legend refers to varying wafers per hour (see text). It is difficult to see how any serial exposure tool can meet these requirements. Current electron beam ...
  87. [87]
    Progress and issues in e-beam and other top down nanolithography
    Jul 22, 2013 · ... a system based on electrostatic optics and scanner. It is targeting modular systems with throughput numbers of from 5 to 10 wafers per hour.<|control11|><|separator|>
  88. [88]
    [PDF] MBMW-101: World's 1st high-throughput multi-beam mask writer
    This upgrade completes IMS' first generation of multi-beam mask writers, which is called MBMW-101 and is meeting the requirements of the 7nm technology node.Missing: limitation 262k
  89. [89]
    [PDF] Lithography Workshop 2016 The Hapuna Resort Kamuela, HI ...
    In this tool a multi-beam column provides 262k programmable beams; the current density is adjustable up to1 A/cm², resulting in a total beam current of up to1µA ...
  90. [90]
    The $150 Million Machine Keeping Moore's Law Alive - WIRED
    Aug 30, 2021 · Each one is roughly the size of a bus and costs $150 million. It contains 100,000 parts and 2 kilometers of cabling.
  91. [91]
    [PDF] Development and performance of EUV pellicles - Frontiers
    Mar 24, 2017 · This protection can be achieved by the use of an EUV pellicle. This is a thin membrane that protects particles from landing on the reticle ...
  92. [92]
    Development of pellicle manufacturing technology for high-power ...
    Sep 23, 2025 · EUV pellicles are key components used to protect EUV photomasks from particle contamination. These pellicles are made of very thin films and ...
  93. [93]
    EUV Lithography Issues Engineers Face | Overlooked Risks & Fixes
    Aug 7, 2025 · Vacuum and Hydrogen Hazards. EUV exposure must occur in high vacuum (10⁻⁶ Torr) because EUV light is absorbed by air. These conditions are ...
  94. [94]
    A study of hydrogen plasma-induced charging effect in EUV ... - NIH
    Feb 23, 2023 · In the extreme ultraviolet lithography system, EUV-induced hydrogen plasma charging effect is observed by in situ embedded micro-detector array.
  95. [95]
    Full article: EUV-induced hydrogen plasma and particle release
    In EUV lithography, the EUV light is generated by a laser-produced plasma (LPP), in which pulsed bursts of EUV are emitted by a hot tin (Sn) plasma which in ...Missing: hazards | Show results with:hazards
  96. [96]
    TWINSCAN EXE:5000 - EUV lithography systems - ASML
    The TWINSCAN EXE:5000 is the first 0.55 NA, or 'High NA', EUV lithography system. Its 8 nm resolution will enable chipmakers to print with a single exposure ...Missing: 2025-2027 | Show results with:2025-2027
  97. [97]
    Technical Analysis Towards High-NA EUV Adoption vs. Low-NA ...
    Apr 29, 2025 · High-NA EUV lithography promises a leap to sub-10 nm patterning through a 0.55 NA optical system, delivering 8 nm critical dimensions (CD) and up to 2.9× ...
  98. [98]
    [PDF] The High NA EUV exposure tool:
    New insight: different requirements for two orientations: anamorphic was born! Page 9. Public. The basics for High NA EUV are found in the elements.
  99. [99]
    Submicrometer-scale pattern generation via maskless digital ...
    Dec 17, 2020 · Maskless photolithography based on digital micromirror devices (DMDs) is considered the next-generation low-cost lithographic technology.
  100. [100]
    Maskless lithography by DLP® technology - ViALUX GmbH
    DLP® technology is based on a digital micromirror device (DMD), which consists of millions of tiny mirrors that are able to direct light with high precision.Missing: computational pixelated 100 nm features<|separator|>
  101. [101]
  102. [102]
    Directed Self-Assembly and Pattern Transfer of Five Nanometer ...
    Line patterns with a sub‐10 nm half‐pitch are successfully formed by DSA on 300 mm wafers. Line patterns without parallel‐oriented structures or ...
  103. [103]
    Review of Directed Self-Assembly Material, Processing, and ...
    May 31, 2025 · The synergy of DSA with EUV enables sub-10 nm resolution enhancement, defect rectification, and improved roughness while reducing EUV dose ...
  104. [104]
    [PDF] Helium ion beam lithography (HIBL) using HafSOx as the resist
    Helium ion beam lithography (HIBL) is a novel technique for high-resolution features. This study tested HafSOx as a resist, achieving sub-10 nm line widths.
  105. [105]
    ULTRA HIGH RESOLUTION LITHOGRAPHY
    Among NGLs competing for sub-100 nm patterning, proximity X-ray lithography (PXL) is the most advanced and mature. PXL was first introduced as a Post Optical ...
  106. [106]
    Chitosan as a Water-Developable 193 nm Photoresist for Green ...
    May 20, 2022 · The aim of this study is to demonstrate the possibility of using chitosan-based photoresists for microelectronic applications on silicon by 193 nm ...Missing: soluble | Show results with:soluble
  107. [107]
    Water-soluble bio-sourced resists for DUV lithography in a 200/300 ...
    Water-based bio-sourced resists are promising candidates as alternatives for deep ultraviolet (DUV) lithography by replacing current photoresists issued ...Missing: greener | Show results with:greener
  108. [108]
    [PDF] Leveraging AI for Optimal Design Margins in Modern Semiconductor ...
    ML-based DFM optimization has demonstrated the ability to reduce lithography hotspots by up to 45% while simultaneously improving pattern uniformity by 30 ...