Fact-checked by Grok 2 weeks ago

ARM Cortex-A510

The ARM Cortex-A510 is a high-efficiency (CPU) core developed by Arm Ltd., introduced as the first Armv9 "LITTLE" processor in the DynamIQ family and serving as the successor to the Cortex-A55. Announced on May 25, 2021, it features a ground-up redesign emphasizing power efficiency and performance scalability for systems. Targeted at consumer devices ranging from smartwatches to smartphones, the Cortex-A510 supports big.LITTLE configurations, pairing with high-performance cores like the Cortex-X2 and Cortex-A710 to balance workload distribution. Architecturally, the Cortex-A510 employs a 3-wide in-order superscalar with Armv9-A set , including execution state, Scalable Vector Extension 2 (SVE2) for acceleration, Memory Tagging Extension (MTE) for enhanced , and optional extensions. It incorporates a merged-core configuration that clusters two cores to share resources such as L2 cache, , and L2 (TLB), while maintaining dynamic performance. includes configurable L1 and data caches of 32 KB or 64 KB each, an optional private L2 cache from 128 KB to 512 KB per core or cluster, and for shared L3 caches up to 16 MB. Physical addressing extends to 40 bits, enabling up to 1 TB of memory, and it integrates SIMD and floating-point units for vector processing. Performance-wise, the Cortex-A510 delivers up to a 35% uplift in single-threaded performance over the Cortex-A55 at the same process node and frequency, alongside up to 20% better for sustained workloads. A 2022 refresh further reduced power consumption by 5% compared to the initial 2021 design, enhancing its suitability for battery-constrained environments. Up to eight cores can be clustered, with scalability for diverse implementations in SoCs, where it raises the floor to handle more complex tasks on low-power cores, potentially tripling throughput in optimized scenarios. These attributes position the Cortex-A510 as a foundational element in Armv9-based systems-on-chip (SoCs) from vendors like and , driving advancements in .

Background and Development

Announcement and Design Goals

The ARM Cortex-A510 was announced on May 25, 2021, as part of Arm's inaugural Armv9 CPU core family, introduced alongside the high-performance Cortex-X2 and the balanced Cortex-A710. This release marked the debut of Armv9-compatible processors aimed at advancing mobile and consumer computing with enhanced security, , and efficiency features. The Cortex-A510 specifically served as the successor to the Cortex-A55, positioning itself as the new high-efficiency "LITTLE" core in setups. Designed by Arm's , team as a clean-sheet 64-bit in-order core, the Cortex-A510 emphasized groundbreaking efficiency for power-constrained environments through a ground-up . Its primary objectives included delivering up to 35% single-threaded performance uplift over the Cortex-A55 at the same process node, or 20% improved at equivalent performance levels, while prioritizing area optimization for cost-effective integration. This focus on prefetching, predication, and workloads aimed to bridge the gap between efficiency and capability in modern devices without compromising battery life or thermal limits. The core targeted low-power applications such as smartphones, IoT devices, wearables, and smart home products, enabling scalable deployments from entry-level to premium segments. It supports flexible configurations in Arm's DynamIQ technology, allowing standalone use or clustering of up to two cores per complex to share resources like L2 cache and vector units, thereby reducing overall silicon area. This design facilitates seamless pairing with higher-performance cores in big.LITTLE arrangements for balanced system-on-chip implementations.

Evolution from Prior Cores

The ARM Cortex-A510 serves as the direct successor to the Cortex-A55, which was built on the Armv8.2-A architecture and itself evolved from the Cortex-A53 as part of Arm's progression toward more in-order cores for mobile and embedded applications. The Cortex-A55 introduced enhancements over the Cortex-A53, delivering up to 18% higher performance while achieving 15% better power , primarily through optimizations in its dual-issue in-order and support for DynamIQ configurations. In contrast, the Cortex-A510 represents a ground-up redesign aligned with the Armv9-A architecture, marking a significant leap in the core by prioritizing and in heterogeneous systems. A primary evolutionary shift in the Cortex-A510 is its adoption of a wider 3-wide in-order execution , expanding beyond the narrower dual-issue design of the Cortex-A55 to handle more without increasing power draw. This change contributes to up to 20% improved power efficiency on an ISO process compared to the A55, enabling better handling of lightweight workloads. Additionally, the A510 incorporates a merged core , where pairs of cores can share an L2 cache and vector processing unit (VPU), reducing area overhead and enhancing resource utilization in multi-core clusters over the more independent configurations typical in prior efficiency cores like the A55. Within Arm's broader big.LITTLE strategy—initially introduced in 2011 and refined through DynamIQ technology since 2017—the Cortex-A510 refines the role of efficiency cores by providing greater capability for background and low-intensity tasks, allowing high-performance "big" cores to remain idle longer without compromising overall system responsiveness. This evolution from the dual-issuer A55 maintains the low-power ethos of LITTLE cores while elevating their performance ceiling, supporting up to 35% higher single-threaded performance over the A55 to better balance demands in devices like smartphones and systems. Key milestones in the Cortex-A510's development include its initial r0p0 release, announced publicly on May 25, 2021, as part of the first CPU family. A revision announced in 2022 further optimized efficiency with a 5% power reduction while preserving performance levels from the original design. These iterations underscore Arm's iterative approach to refining efficiency cores for evolving ecosystems.

Microarchitecture

Core Design and Pipeline

The ARM Cortex-A510 is a 64-bit in-order designed for high efficiency in mobile and embedded applications, featuring a 3-wide decode and dispatch stage to enable parallel instruction processing while maintaining a simple in-order execution model. This architecture allows the core to issue up to three instructions per cycle for common operations such as integer adds, logic operations, and moves, balancing throughput with low power consumption. The core employs an 8-stage integer , comprising fetch, decode, rename, dispatch, , execute, writeback, and retire stages, which is optimized for low latency and minimal power usage through techniques like hierarchical . This structure supports efficient handling of typical workloads in efficiency-focused systems, with branch mispredictions incurring an 8-cycle penalty resolved from the first execution stage. The design emphasizes area and energy efficiency, making it suitable for integration into power-constrained devices. In terms of cluster configuration, the Cortex-A510 leverages Arm's DynamIQ shared memory technology, allowing flexible implementation as single cores or in pairs forming a merged-core complex. In the dual-core configuration, the pair shares a configurable cache (128 KB to 512 KB), along with other resources like the and TLB, resulting in an area reduction of 10-15% compared to two independent cores while preserving performance. This shared setup enhances scalability within DynamIQ clusters supporting up to eight cores. The integer execution subsystem includes two dedicated pipelines, each equipped with an ALU and a branch unit, enabling dual-issue capability for integer operations and branches. These pipelines support specifically for branches to mitigate misprediction impacts without full . Frequency scaling typically operates in the 1.8-2.5 GHz range, varying by process node such as 5 nm or 4 nm implementations, to optimize for thermal and power envelopes in diverse designs.

Execution Units and Branch Prediction

The Cortex-A510 incorporates specialized execution units optimized for efficiency within its in-order . It features dual load/store units that support simultaneous 128-bit reads and a 128-bit write path to the L1 data , enabling effective handling of operations with low on hits. , including multiply and divide operations, is executed in dedicated units of the (DPU), where divides require 8 to 16 cycles depending on the operand size. The floating-point and unit, implemented via the Vector Processing Unit (VPU), supports Armv9 vector extensions, including Advanced SIMD for instructions, scalar and vector floating-point operations in half-, single-, and double-precision, as well as SVE and SVE2 for scalable processing. Branch prediction in the Cortex-A510 relies on a dynamic predictor integrated into the Instruction Fetch Unit (IFU), which handles conditional branches, unconditional branches, indirect branches, A32/T32 mode switches, and procedure calls/returns. This mechanism includes a Branch Target Address Cache (BTAC), return stack, and call-return stack to anticipate targets and directions, thereby reducing pipeline flushes from mispredictions. Mispredictions are monitored via performance events like BR_MIS_PRED, contributing to overall execution efficiency by minimizing stalls in the in-order flow. SIMD and vector capabilities are centered on the VPU with configurable datapath widths of 2×64-bit or 2×128-bit, supporting for fixed 128-bit SIMD operations and SVE2 for scalable extensions with implementation-defined lengths up to 128 bits per core. This design enables of and floating-point , with features like BFloat16 support and bit permutation instructions enhancing versatility for and compute workloads. In multi-core DynamIQ clusters, operations benefit from shared resources, though per-core execution remains at the 128-bit scale. Power management is embedded in the execution flow through fine-grained , utilizing architectural modes like Wait for Interrupt (WFI) and Wait for Event (WFE) alongside hierarchical and local gates to disable unused units dynamically. Dynamic voltage and (DVFS) operates at the complex level, with configurable power modes (On, Off, Retention) and separate voltage domains for the core cluster and complex, allowing precise control to balance performance and energy consumption during instruction execution.

Memory and System Features

Cache Hierarchy and TLBs

The Cortex-A510 implements a hierarchical cache structure optimized for low-power embedded and mobile applications, featuring private L1 caches per core and configurable L2 caching to minimize latency while conserving energy. The L1 instruction cache is configurable as 32 KB or 64 KB in size and organized as 4-way set associative, while the L1 data cache is configurable as 32 KB or 64 KB and employs 4-way set associativity. Both L1 caches utilize virtual indexing with physical tagging (VIPT), enabling efficient address translation and reduced aliasing issues in virtual memory environments. The cache is configurable with capacities of 128 KB, 192 KB, 256 KB, 384 KB, or 512 KB per complex, either as private to a single core or shared between pairs of cores in a dual-core complex, with an 8-way set associative organization. This level is non-inclusive relative to the L1 caches, meaning L1 content is not guaranteed to reside in , which helps avoid unnecessary evictions and optimizes hit rates in multi-core DynamIQ clusters. The design supports optional integration within the core or via external DynamIQ Shared Unit (DSU), allowing flexibility for system integrators. Translation lookaside buffers (TLBs) in the Cortex-A510 are structured for fast virtual-to-physical address mapping, with private per-core instruction TLB (ITLB) holding 64 entries in a 4-way set associative array and a similarly configured data TLB (DTLB) of 64 entries. Misses from these micro-TLBs are resolved by a shared L2 TLB comprising 1024 entries organized as 4-way set associative, which services both instruction and data translation requests across cores in a complex. This setup enhances context-switching efficiency and supports large page sizes up to 1 GB. System-level coherence is maintained through support for the , enabling seamless data sharing and snoop operations in heterogeneous multi-core SoCs without software intervention. Additionally, the memory subsystem achieves 10-15% lower access latency compared to the Cortex-A55, primarily due to advanced hardware prefetching that anticipates data patterns more accurately.

Supported Architectures and Extensions

The ARM Cortex-A510 implements the full Armv9.0-A architecture, serving as the baseline for its instruction set and execution model. This includes primary support for the execution state, which enables 64-bit addressing and operations optimized for modern workloads, with optional compatibility with the AArch32 execution state for legacy 32-bit applications at the EL0 exception level. The core supports the standard exception levels defined in Armv9-A, ranging from EL0 for applications to EL3 for secure monitor operations. EL2 provides support, allowing the core to host virtual machines through isolation and nested paging mechanisms. As a mandatory component of Armv9-A compliance, the Cortex-A510 incorporates several key architectural extensions to enhance , reliability, and performance. The Memory Tagging Extension (MTE) enables runtime pointer authentication and safety by tagging memory allocations and validating accesses, helping to detect and mitigate buffer overflows and use-after-free errors. The Scalable Vector Extension 2 (SVE2) provides advanced SIMD and floating-point capabilities with vector lengths up to 2048 bits, supporting gather-scatter operations and for and scientific computing. Additionally, Branch Target Identification (BTI) strengthens by restricting indirect branches to designated targets, reducing the risk of attacks. Security features in the Cortex-A510 are deeply integrated into the Armv9-A foundation, with providing cryptographic signing of function return addresses and pointers to prevent exploitation of control-flow hijacking vulnerabilities. It also supports Secure Enclave execution environments, allowing isolated processing of sensitive data within a trusted execution protected from the normal world. Optional configurations extend the core's versatility for specific use cases. Arm TrustZone technology can be enabled to create a secure partition of the system, isolating trusted applications and assets from untrusted code. Similarly, extensions may be included to support error detection, correction, and reporting in enterprise and server deployments, such as through parity checks and fault signaling.

Performance Characteristics

Efficiency Metrics

The ARM Cortex-A510 delivers notable power efficiency gains over the Cortex-A55, its direct predecessor, with Arm reporting up to 35% higher performance at equivalent power levels on the same process node. This uplift stems from a redesigned in-order pipeline that enhances (IPC) while maintaining low energy use, enabling better for background and efficiency tasks in mobile and systems. In comparison to the earlier high-performance Cortex-A73, the A510 achieves within 10% while operating at frequencies within 15% and consuming 35% less power overall, allowing it to handle similar workloads with reduced thermal and battery impact. Additionally, the core provides up to 20% better power efficiency than the A55 at the same performance point, primarily through improved prefetching and prediction mechanisms that minimize wasted cycles. Area efficiency is a key strength of the A510, achieved via its optional merged-core that clusters two cores to share elements like the cache and front-end resources, reducing overall die footprint compared to standalone implementations of the A55 at matched performance. This design choice supports denser multi-core configurations without proportional area increases. The core is tailored for leading-edge process nodes, including 5nm and 4nm technologies from and , which enable its low-power profile in high-volume production SoCs. Performance uplifts are typically quantified using metrics like SPECint, where the combined effect of higher IPC and sustainable frequency at iso-power yields an overall gain, exemplified by the formula: \text{Performance Uplift} = \left( \frac{\text{IPC}_\text{A510}}{\text{IPC}_\text{A55}} \right) \times \left( \frac{\text{Freq}_\text{A510}}{\text{Freq}_\text{A55}} \right) at constant power, aligning with Arm's reported 35% improvement. A 2022 refresh (r1 revision) further enhanced efficiency by 5% over the original design through targeted tweaks to the and , without altering the core's peak performance capabilities. The refresh also improves scalability to up to 12 cores per and includes optional 32-bit AArch32 support.

Benchmark Results

The ARM Cortex-A510 achieves approximately 35% higher performance than the Cortex-A55 on the SPECint 2006 benchmark suite for integer workloads, reflecting improvements in (). In 5 testing on devices like the Z Fold5 utilizing Cortex-A510 cores, the efficiency cores demonstrate capable handling of light-threaded tasks within efficiency configurations. Within big.LITTLE heterogeneous setups, the Cortex-A510 manages background and low-intensity workloads with up to 20% better compared to the A55, enabling sustained operation at lower power envelopes than performance-oriented cores while maintaining comparable throughput for such duties. Independent analysis in a Chips and Cheese review highlights the core's 3-wide in-order design delivering balanced integer and floating-point throughput in merged dual-core modes, though it trails out-of-order architectures in branch-intensive code due to constrained depth.

Comparisons

Versus Cortex-A55

The Cortex-A510 offers substantial improvements over the Cortex-A55, its direct predecessor in Arm's high-efficiency core lineup, through a ground-up redesign emphasizing higher throughput and efficiency within in-order execution paradigms. The A510 employs a 3-wide decode, , and execute , contrasting with the 2-wide structure of the A55, which enables approximately 35% higher (IPC) in integer workloads like SPECint 2006. This wider decode capability allows the A510 to process more instructions simultaneously, enhancing overall single-threaded performance at the same frequency and process node. In power and area efficiency, the A510 achieves up to 20% lower for equivalent levels compared to the A55, making it more viable for battery-constrained devices. Its merged-core , which pairs two A510 cores to share resources like the cache and branch target buffer, further optimizes area usage relative to deploying multiple A55 cores for similar workloads. These gains position the A510 as particularly effective for high-frequency clusters in DynamIQ configurations, where sustained efficiency is critical without sacrificing responsiveness. Architecturally, the A510 builds on the A55's Armv8.2-A foundation by adopting Armv9-A, introducing features such as Memory Tagging Extension (MTE) for runtime memory error detection and Scalable Vector Extension 2 (SVE2) for advanced vector and operations—capabilities absent in the A55. While the A55 supports core Armv8 extensions including and reliability features, it lacks these Armv9 enhancements, limiting its suitability for emerging and demands. Migration to the A510 simplifies integration into Armv9-centric SoCs, as it aligns natively with the ecosystem's advanced features while ensuring full for A55-targeted software and binaries. This compatibility reduces redevelopment efforts, enabling designers to elevate the performance baseline in little-core clusters for improved system-wide efficiency.

Versus Other Efficiency Cores

The Cortex-A715, introduced in 2022 as part of Arm's second-generation Armv9 cores, is a balanced core with elements for improved , contrasting with the in-order A510. While the A715 delivers higher overall performance, the in-order A510 occupies a smaller area, enabling denser multi-core configurations in power-constrained devices. This design trade-off positions the A510 for background tasks in big.LITTLE architectures, where area savings contribute to better system-level power scaling compared to the more capable but larger A715. Compared to Apple's efficiency cores, such as the Icestorm core in the , the Cortex-A510 trails in single-thread performance due to Apple's custom optimizations for wider execution and advanced prefetching tailored to workloads. The Cortex-A510 shares a similar in-order with Qualcomm's little cores, which are often derived from , but distinguishes itself through native Armv9-A compliance, including full support for Tagging Extension (MTE) for enhanced memory safety. This enables better integration with Arm's ecosystem for pointer authentication and tagged memory operations, providing an edge over earlier variants that may rely on partial or custom Armv8 implementations. In market positioning against efficiency cores like the U74, the Cortex-A510's 3-wide decode and execution design delivers around 25% higher performance in licensed ecosystems, benefiting from mature optimizations and broader software compatibility. The U74, a 2-wide in-order core equivalent to the Cortex-A55 in DMIPS/MHz, underscores the A510's advantages in throughput for -dominant mobile and embedded applications. The Cortex-A520, announced in May 2023 as the next high-efficiency core in Arm's lineup and direct successor to the A510, retains an in-order design but achieves up to 22% better power at the same performance level through microarchitectural optimizations and Armv9.2 support. This positions the A510 as a foundational efficiency core for earlier Armv9 implementations, while the A520 extends its scalability in newer SoCs as of 2025.

Implementations and Usage

Adoption in SoCs

The Cortex-A510 core saw its first major adoption in Qualcomm's Snapdragon 8 Gen 1 mobile platform, announced in November 2021, where it formed the efficiency cluster with four cores clocked at up to 1.8 GHz, complementing one Cortex-X2 prime core and three Cortex-A710 performance cores in an Armv9-based configuration. This integration marked the debut of the Cortex-A510 in a flagship SoC, emphasizing power efficiency for background tasks in premium smartphones. Qualcomm continued its use in the Snapdragon 8 Gen 2, released in November 2022, reducing the efficiency cluster to three Cortex-A510 cores at up to 2.0 GHz while incorporating one Cortex-X3 prime core, two Cortex-A715, and two Cortex-A710 performance cores to balance thermal constraints and performance. MediaTek integrated the Cortex-A510 into its Dimensity 9000 , unveiled in November 2021, employing four cores at up to 1.8 GHz alongside one Cortex-X2 and three Cortex-A710 cores, targeting high-end devices with a focus on sustained . The core's adoption expanded in the Dimensity 9200, announced in November 2022, which retained four Cortex-A510 efficiency cores at up to 1.8 GHz but upgraded to one Cortex-X3 prime core and three Cortex-A715 performance cores for enhanced and multimedia workloads. Samsung incorporated the Cortex-A510 in its 2200 , introduced in January 2022, configuring four cores at up to 1.8 GHz in a big.LITTLE setup with one Cortex-X2 and three Cortex-A710 cores, aimed at delivering efficient multi-threaded performance in flagship devices. HiSilicon utilized the Cortex-A510 in the 9000S , launched in August 2023 for the series, featuring four Cortex-A510 cores at 1.53 GHz paired with custom Taishan V120 big cores (one at 2.62 GHz and three at 2.15 GHz) in a semi-custom to optimize under manufacturing constraints. Across these implementations, the Cortex-A510 typically appears in clusters of three to four cores, clocked between 1.8 GHz and 2.0 GHz, enabling licensees to prioritize efficiency in heterogeneous CPU designs without exceeding envelopes in mobile . A 2022 refresh of the core, offering 5% better efficiency, began appearing in subsequent revisions from 2023 onward. The core continued in mid-range , such as the MediaTek Dimensity 8300 announced in November 2023, with four Cortex-A510 cores at 1.8 GHz alongside A715 performance cores.

Devices and Products

The Cortex-A510 core has seen widespread adoption in flagship and mid-range smartphones since its commercial debut in 2022, powering efficiency-focused tasks in heterogeneous CPU clusters. Representative examples include the series, which employed the 2200 with four Cortex-A510 cores clocked at up to 1.82 GHz in select regions, enabling Armv9 support for enhanced and features. Similarly, the 11 utilized the 8 Gen 2 , incorporating three Cortex-A510 cores at 2.0 GHz alongside higher-performance siblings to balance power consumption during everyday usage. Other notable implementations appear in devices like the series, powered by the Dimensity 9000 with four Cortex-A510 cores at 1.8 GHz, contributing to smooth multitasking in premium handsets. In tablets and wearables, the Cortex-A510 supports compact, battery-constrained designs requiring sustained low-power operation. The Samsung Galaxy Tab S8 series integrated the Snapdragon 8 Gen 1 SoC, featuring four Cortex-A510 cores to handle background processes and media consumption efficiently on larger screens. For wearables, Arm positions the core for use in smartwatches and fitness trackers, where its scalable configuration aids in extending battery life during continuous monitoring. Automotive and applications represent an emerging frontier for the Cortex-A510, leveraging its efficiency for always-on systems. By 2025, MediaTek's MT8676 , featuring Cortex-A510 efficiency cores, entered production for smart cockpit systems in electric vehicles, supporting and tasks in resource-limited environments. In , low-power configurations of the core support smart home hubs and sensors for in edge AI applications. The integration of Cortex-A510 in 2022 flagship SoCs marked the commercial rollout of Armv9 across mobile devices, facilitating features like for improved data privacy. This adoption has driven market reception through enhanced efficiency, with SoC-level implementations demonstrating up to 30% better power efficiency over predecessors in multi-tasking workloads, thereby extending device battery life in real-world scenarios.

References

  1. [1]
    Arm Community
    **Announcement Date and Key Details about Cortex-A510**
  2. [2]
    Cortex-A510 | First Armv9 CPU with 35% Performance Boost – Arm®
    Cortex-A510 is a high-efficiency Armv9 CPU with a ground-up microarchitecture design that provides considerable performance improvements compared to ...
  3. [3]
    Cortex-A510 Product Support - Arm Developer
    Cortex-A510 is an Armv9 CPU designed for efficient performance and provides scalable features to target a broad range of devices from smart watches to mobile.
  4. [4]
    Arm announces first Armv9 cores, including powerhouse Cortex-X2
    May 25, 2021 · Although Cortex-A510 sticks with the in-order execution flow of the Cortex-A55, it is a “clean sheet” design that breaks with Cortex-A55 in ...
  5. [5]
    Arm Upgrades Its Entire PC And Mobile Portfolio - EE Times
    May 28, 2021 · The Cortex-A510 is designed with a dual-core complex with a shared L2 cache, Neon SIMD engine, and SVE2 vector processing unit. The shared ...<|control11|><|separator|>
  6. [6]
  7. [7]
    big.LITTLE: Balancing Power Efficiency and Performance - Arm
    Combines big and LITTLE CPUs into a single, fully integrated cluster, bringing benefits in advanced power management and performance for everything from mobile ...
  8. [8]
    New generation of Armv9 CPUs unleash unprecedented compute ...
    Jun 28, 2022 · The CPU can be paired with Cortex-X3 and Cortex-A510 CPU cores as part of TCS22. Efficiency upgrades for Cortex-A510. Alongside our new CPUs ...
  9. [9]
    Arm's Cortex A510: Two Kids in a Trench Coat - Chips and Cheese
    Oct 1, 2023 · Cortex A510 is a 3-wide in-order core. Cores can be clustered into pairs and share resources to save area, or can be implemented separately.
  10. [10]
    Arm® Cortex®‑A510 Core Technical Reference Manual
    This manual is for system designers, system integrators, and programmers who are designing or programming a System on Chip (SoC) that uses an Arm core.
  11. [11]
    Cortex®‑A510 core configuration options - Arm Developer
    The selected option applies to all Cortex®‑A510cores in a complex, but can be set separately for each complex in the DynamIQ™ cluster. ECC or parity core cache ...Missing: reduction | Show results with:reduction
  12. [12]
    L1 instruction memory system - Arm Developer
    This manual is for the Cortex-A510 core. It provides reference ... L1 instruction cache, 32KB or 64KB. 4-way set associative. Virtually-indexed ...
  13. [13]
    L1 data memory system - Cortex-A510 - Arm Developer
    L1 data cache, 32KB or 64KB. 4-way set associative. Virtually-Indexed, Physically-Tagged (VIPT) behaving as Physically-Indexed, Physically-Tagged (PIPT). Error ...
  14. [14]
    Arm Unveils Next-Gen Armv9 Little Core: Cortex-A510 - WikiChip Fuse
    May 25, 2021 · The Cortex-A510 is a new ground-up in-order CPU design. The secret to its performance is in its instruction stream prediction and prefetching capabilities.Missing: goals | Show results with:goals
  15. [15]
    Arm Introduces Armv9 Cortex-X2, A710, and A510 CPUs, New Mali ...
    May 25, 2021 · The 'Little' Cortex-A510 slots in as the company's first new little core in four years and supports the Armv9 architecture. Arm claims these ...
  16. [16]
    Arm Refreshes The Cortex-A510, Squeezes Higher Efficiency
    Jun 28, 2022 · Arm is announcing a refresh of the Cortex-A510. Compared to the exact same core introduced last year, the updated core is said to offer a 5% reduction in power ...
  17. [17]
    Arm Cortex-X2, A710, and A510 deep dive: Armv9 CPU designs ...
    May 25, 2021 · Arm has announced three new Armv9 based CPUs: the high performance Arm Cortex-X2, the big Cortex-A710, and the power efficient Cortex-A510.<|control11|><|separator|>
  18. [18]
    Samsung Galaxy Z Fold5 Cortex-A510 (Termux+PRoot Debian 12)
    Sep 2, 2023 · Benchmark results for a N/A with an ARM ARMv8 processor ... Geekbench 5 Score. 254. Single-Core Score. 697. Multi-Core Score. Geekbench 5.5.1 ...Missing: SPECint | Show results with:SPECint
  19. [19]
    Cortex-A510 - Microarchitectures - ARM - WikiChip
    May 13, 2025 · Cortex-A510 (codename Klein) is an ultra-high efficiency microarchitecture designed by ARM Holdings as a successor to the Cortex-A55 ...
  20. [20]
  21. [21]
    Arm unveils Cortex-X3 and Cortex-A715 Armv9 cores, improves ...
    Jun 29, 2022 · The announcement also includes a “refresh” of the Cortex-A510 core announced last year with a 5% improvement in efficiency and the same level ...Missing: r1 revision
  22. [22]
    Apple M1's 'Small' Icestorm Cores Benchmarked Against 'Big ...
    Sep 2, 2021 · Apple's IceStorm cores have been tested and compared to the bigger FireStorm cores found in the Apple M1 silicon.<|separator|>
  23. [23]
    First Armv9 cores unveiled - Cortex-A510, Cortex-A710, Cortex-X2
    May 26, 2021 · Arm Cortex-A710 “big” CPU core provides a 30% energy efficiency gain and 10% uplift in performance compared to Cortex-A78, while Arm Cortex-A510 ...Missing: goals | Show results with:goals
  24. [24]
    SiFive unveils new RISC-V designs, including two Linux-ready models
    Oct 31, 2018 · The Linux-compatible U74 and U74-MC both provide 2.5 DMIPS/MHz and 4.9 CoreMark/MHz performance, which SiFive equates with Arm's Cortex-55 cores ...Missing: A510 | Show results with:A510
  25. [25]
    Qualcomm Announces Snapdragon 8 Gen 1: Flagship SoC for 2022 ...
    Nov 30, 2021 · ... CPU configuration, featuring a new Cortex-X2 core at up to 3GHz, new Cortex-A710's middle cores at 2.5GHz, and as well as the new A510 little ...
  26. [26]
    Snapdragon 8 Gen 2 Defines a New Standard for Premium ...
    Nov 15, 2022 · The Hexagon processor features brand new upgrades to the architecture, including micro tile inferencing and a bigger tensor accelerator for up ...Missing: configuration | Show results with:configuration
  27. [27]
    Exynos 2200 | Mobile Processor | Samsung Semiconductor Global
    Its array of cores includes one Arm Cortex-X2 core for powerful performance, three Cortex-A710 cores for optimal performance and four Cortex-A510 cores for ...A New Mobile · Bringing Power To... · Smarter
  28. [28]
    Samsung Introduces Game Changing Exynos 2200 Processor With ...
    Jan 18, 2022 · ... Cortex®-X2 flagship-core, three performance and efficiency balanced Cortex-A710 big-cores and four power-efficient Cortex-A510 little-cores.
  29. [29]
    Samsung unveils Exynos 2200 with Xclipse GPU, based on AMD ...
    Jan 18, 2022 · The octa-core processor has a single powerful Cortex-X2 core, three Cortex-A710 balancing performance and efficiency, and four Cortex-A510 ...
  30. [30]
    OnePlus 11 - Full phone specifications
    CPU, Octa-core (1x3.2 GHz Cortex-X3 & 2x2.8 GHz Cortex-A715 & 2x2.8 GHz Cortex-A710 & 3x2.0 GHz Cortex-A510). GPU, Adreno 740. Memory. Card slot, No. Internal ...
  31. [31]
    Dimensity 9000 | Flagship 5G Smartphone Chip - MediaTek
    Processor. 1x Arm Cortex-X2 at 3.05GHz; 3x Arm Cortex-A710 up to 2.85GHz; 4x Arm Cortex-A510 up to 1.8GHz ; Cores. Octa (8) ; CPU Bit. 64-bit ; Heterogeneous Multi ...
  32. [32]
    Qualcomm Snapdragon 8 Gen 1 Processor - Benchmarks and Specs
    All cores can use the shared 6 MB level 3 cache. Compared to the Snapdragon 888 (Plus), the CPU part gains 20% performance and 30% power savings according to ...
  33. [33]
    Arm Announces New Automotive Technologies to Accelerate ...
    Mar 13, 2024 · Arm today announced new automotive technologies and a roadmap of compute subsystems to enable faster time to market for AI enabled vehicles.Missing: A510 | Show results with:A510
  34. [34]
    2025 cockpit chip rankings, chips that crush SA8295 emerge in an ...
    Jan 13, 2025 · MT8676 adopts an octa-core design, with the big core being Makalu, which is actually Cortex-A715, and the small core being A510, codenamed klein ...
  35. [35]
    Over 250 billion Arm chips have shipped since the first ARM1 ...
    Apr 28, 2025 · At the latest count there have been more than 250 billion Arm chips shipped, in devices spanning sensors, and smartphones, to datacenters and beyond.