Fact-checked by Grok 2 weeks ago

RISC-V

RISC-V is an open-standard instruction set architecture (ISA) implementing reduced instruction set computing (RISC) principles through a lean base specification and composable extensions, enabling royalty-free processor designs across diverse applications from microcontrollers to servers.
Initiated in 2010 by computer architecture researchers at the University of California, Berkeley—including Krste Asanović, David Patterson, and Yunsup Lee—RISC-V emerged as an academic project to foster innovation unencumbered by licensing fees and proprietary constraints inherent in dominant ISAs like ARM and x86.
Its core design prioritizes a compact 32- or 64-bit integer base ISA (RV32I or RV64I) with standardized extensions—such as 'M' for multiplication/division, 'A' for atomic operations, and 'C' for compressed instructions—allowing precise customization while maintaining binary compatibility within profiles.
Governed by the nonprofit RISC-V International since 2015 (formerly the RISC-V Foundation), the standard has facilitated over 3,000 member organizations and commercial silicon from vendors including SiFive, Andes Technology, and Alibaba, with deployments scaling to high-performance computing and AI workloads.
While its open nature accelerates adoption in embedded and edge computing—evidenced by billions of projected cores shipped by 2025—observers highlight risks of ecosystem fragmentation without robust ratification processes and the influence of state-backed implementations, particularly from China, amid U.S. export controls that underscore geopolitical tensions over technology standards.

History

Origins at UC Berkeley

RISC-V began development in May 2010 at the , Berkeley's Parallel Computing Laboratory, initiated by Professor Krste Asanović along with David A. Patterson and graduate students Yunsup Lee and Andrew Waterman. The effort sought to address limitations of proprietary ISAs like and , which required royalties and restricted modifications, thereby impeding flexible use in academic research, education, and custom accelerator designs. As the fifth generation of RISC architectures from —following pioneering work in the —RISC-V prioritized an open, modular foundation to enable royalty-free innovation and broad accessibility. The initial specification for the base user-level was published on May 13, 2011, as UC Technical Report EECS-2011-62, establishing a simple, extensible load-store without encumbrances of legacy features or licensing fees. This public-domain release facilitated immediate experimentation, contrasting with closed alternatives that prioritized commercial control over collaborative evolution. The design's emphasis on modularity allowed extensions for specific needs, reflecting first-principles simplification derived from decades of RISC empirical advancements. Early prototypes validated the ISA's viability, with the Raven-1 core fabricated in 2011 on a 28nm STMicroelectronics process, marking one of the first hardware implementations. The Berkeley team subsequently developed the Rocket Chip generator, an open-source framework for configurable RISC-V SoCs, which supported parameterized core generation and accelerated research into diverse hardware configurations. Projects like lowRISC, building directly on Rocket Chip and Berkeley's contributions, exemplified the paradigm shift toward open ecosystems, enabling verifiable, customizable designs free from proprietary constraints and fostering causal momentum in hardware openness.

Formation of RISC-V International

The RISC-V Foundation was founded in as a non-profit entity to manage the evolution of the RISC-V (), shifting oversight from its UC Berkeley origins to a collaborative industry framework aimed at preventing fragmentation and ensuring openness. This establishment involved 36 founding members, including , which contributed to early promotion efforts, and Andes Technology as a founding member focused on commercial CPU IP development. The foundation's governance emphasized technical stewardship through committees that facilitated contributions while prioritizing ISA compatibility, contrasting with proprietary models like where single-entity dominance has led to extension lock-in and reduced . Specifications undergo development in working groups, followed by review and ratification by the , a process designed to balance innovation with enforcement of baseline standards to avoid the vendor capture risks evident in closed ecosystems. In March 2020, the organization reincorporated as RISC-V International in , relocating from the to maintain neutrality amid escalating geopolitical tensions and U.S. uncertainties that could hinder global collaboration. This transition expanded membership tiers for inclusivity, enabling broader participation without diluting core ratification authority. By 2025, RISC-V International had grown to more than 4,500 members across 70 countries, underscoring the model's success in driving industry-led advancement while upholding vendor-neutral principles that distinguish it from capture-prone alternatives.

Key Milestones and Ratifications

The unprivileged was frozen in May 2014, establishing a stable foundation for the base integer instruction set (RV32I and RV64I) and enabling early prototyping and software development without further major changes to the user-level . In December 2021, the privileged specification version 1.12 achieved ratification, formalizing machine-mode, supervisor-mode, and initial hypervisor-mode operations, which provided essential mechanisms for handling, , and basic support required for robust operating system deployment. The RISC-V Vector Extension (RVV) version 1.0 was ratified in November 2021, defining a parameterized register set and instructions for data-parallel operations across varying vector lengths, thereby allowing implementations to scale performance for scientific computing and workloads while avoiding proprietary vector ISAs. In October 2024, the RVA23 application processor profile reached ratification, mandating the extension alongside enhancements (including Svpbmt for page-based typing) to standardize server and embedded systems capable of handling virtualized environments and parallel compute tasks. Matrix extension proposals advanced in 2024, with open-source efforts like the Stream Computing RISC-V Matrix Instruction Set reaching version 0.5, introducing tile-based multiplication instructions optimized for AI tensor operations and integrating with vector capabilities for efficient low-precision computations. These specification milestones facilitated ecosystem growth, culminating in over 13 billion RISC-V cores shipped by 2025, reflecting cumulative production enabled by the maturing ratified standards.

Recent Developments up to 2025

In May 2025, the convened in from May 12 to 15, fostering collaboration among industrial, governmental, research, and academic stakeholders to drive RISC-V ecosystem growth, with presentations on technical progress and . The event underscored Europe's expanding role in RISC-V development, including advancements in automotive and high-performance applications. The followed in October 2025, held October 22–23 in , with community-curated sessions on software ecosystems, enhancements, and /ML innovations, alongside member day discussions on updates. These gatherings highlighted maturing toolchains and AI-native compute architectures, reflecting empirical progress in deployment readiness. RISC-V International reported in October 2025 that RISC-V-enabled has achieved over 25% in targeted segments, surpassing prior forecasts of 25% by 2030 and demonstrating accelerated adoption in and domains. Projections indicate shipments exceeding 20 billion units cumulatively by 2031, supported by IP revenue growth toward $2 billion. Andes Technology advanced RISC-V's embedded capabilities through 2025 events, including its inaugural RISC-V CON in on September 24 and on October 14, where demonstrations emphasized RISC-V IP for accelerators and automotive SoCs, reinforcing the architecture's competitive edge in performance metrics like 2.59 DMIPS/MHz. These developments, evidenced by rising design wins in and markets, counter claims of stagnation with quantifiable silicon footprint expansion.

Design Philosophy and Rationale

Core Motivations and First-Principles Basis

RISC-V emerged from UC Berkeley's research needs in 2010, when Krste Asanović, Andrew Waterman, and Yunsup Lee sought an free from the licensing restrictions and royalties of proprietary designs like and , which imposed costs of $1–10 million and delays of 6–24 months even for academic prototypes. This limitation had constrained experimentation in , domain-specific accelerators, and educational tools, prompting the creation of a BSD-licensed, open-source to enable unrestricted modification and shared development. The project's empirical driver was Berkeley's ongoing work in agile hardware design, where proprietary barriers stifled rapid iteration and collaboration, much as closed software had before open-source alternatives proliferated. At its foundation, RISC-V drew on RISC principles to prioritize hardware simplicity, adopting a that isolates memory accesses from operations, thereby minimizing implementation overhead and enabling straightforward pipelining across varied devices. The base RV32I integer ISA consists of just 47 with fixed 32-bit encoding and 32 general-purpose registers, choices derived from analysis of common patterns in benchmarks like SPEC CPU2006 to ensure completeness for modern software while avoiding superfluous features that complicate verification. This lean structure facilitates in design, as uniform boundaries and minimal opcodes reduce decoding and power draw, contrasting with architectures burdened by historical accretions. Modularity forms the core rationale for extensibility without compromising the base, featuring a frozen core for binary compatibility alongside optional ratified extensions, allowing tailored implementations for controllers or servers while curbing unchecked feature proliferation. By remaining and governed as an akin to /, RISC-V eliminates IP encumbrances to democratize innovation, fostering competition among implementations and enabling small entities to compete without upfront fees that favor incumbents. The overarching aim was an viable for any computing scale, from microcontrollers to supercomputers, through this verifiable, adaptable framework that privileges empirical efficiency over .

Advantages over Proprietary Architectures

RISC-V imposes no licensing or royalty fees, in contrast to proprietary architectures like ARM, which require royalties typically comprising 2.5% to 5% of the chip's average selling price depending on the architecture version. This zero-cost access lowers entry barriers for startups and facilitates the design of custom ASICs tailored to specific needs, avoiding the financial dependencies and potential vendor lock-in inherent in closed ecosystems. The ISA's modular structure permits selective incorporation of extensions, enabling optimizations for particular domains without embedding extraneous instructions that inflate power draw and die area, as often occurs in general-purpose designs. In embedded applications, this approach yields empirically superior power efficiency by aligning instruction sets directly with workload requirements, reducing unnecessary computational overhead. RISC-V's open governance model, managed by RISC-V International, supports collaborative of extensions, allowing rapid iteration and driven by community consensus rather than unilateral corporate decisions. This process has enabled swift advancements, such as the prompt finalization of and other workload-specific features, demonstrating causally how circumvents the delays and biases of control.

Empirical Comparisons with ARM and x86

The RISC-V base integer instruction set architecture (RV32I) consists of 47 instructions, in contrast to ARM's AArch64, which encompasses a larger core set exceeding 100 instructions across arithmetic, load/store, and control flow categories, and x86-64, which defines over 1,500 instructions including legacy CISC variants. This minimalism in RISC-V avoids the historical accretions in x86, such as variable-length instructions and microcode-heavy decoding, which inflate decoder complexity and contribute to higher power dissipation in implementations; empirical analyses of contemporary architectures confirm that RISC designs like RISC-V and ARM achieve equivalent or better energy efficiency per operation when stripped of such bloat. Similarly, RISC-V circumvents ARM's extension fragmentation, where proprietary add-ons like Neon or SVE necessitate licensed profiles; RISC-V's ratified standard extensions and profiles enforce consistency, facilitating modular verification with reduced state space compared to ARM's sprawling variants. In performance metrics, RISC-V cores deliver comparable () to ARM equivalents in integer-dominated simple workloads. For instance, benchmarks on RISC-V cores like the SpacemiT P550 sustain IPC above 2.0 in SHA-256 checksum tasks, aligning with x86's and outperforming ARM's Cortex-A73 in per-clock throughput for narrow-issue designs. Direct comparisons further reveal that dynamic path lengths (instruction counts) between RISC-V and differ by less than 10% on average across HPC proxies like and MiniBUDE, with RISC-V exhibiting 16.2% shorter paths in some cases due to streamlined encoding, yielding equivalent (CPI) and execution times at fixed clocks (e.g., ~0.1 ms for MiniBUDE on both). These results underscore RISC-V's efficiency in baseline functionality, where its lean design minimizes overhead without sacrificing throughput. RISC-V's structure particularly advantages custom extensions, enabling domain-specific accelerations without proprietary licensing hurdles that constrain implementations; this permits tighter integration and lower die area overhead, as minimal RISC-V cores fit in under gates versus comparable baselines requiring additional logic for compatibility layers. While benefits from mature optimizations, RISC-V's simplicity supports faster iteration in and , with showing no inherent CPI penalty in unextended workloads and potential for superior area scaling in tailored silicon.

Instruction Set Architecture

Base Integer ISA and RV32/RV64 Variants

The RISC-V base ISA, comprising the RV32I and RV64I variants, establishes the minimal, mandatory instruction set for all compliant processors, emphasizing a load-store design that separates operations from to enable efficient pipelining and simple decoding. RV32I supports 32-bit registers and addressing, targeting resource-constrained applications, whereas RV64I extends registers and the user-mode to 64 bits (XLEN=64) for scalability to desktops, servers, and . Both variants share nearly identical instruction semantics and encodings, with RV64I adding support for 64-bit loads, stores, and arithmetic operations while maintaining for 32-bit instructions. Central to the architecture are 32 general-purpose registers (x0–x31), each XLEN bits wide, with x0 hardwired to zero to serve as a constant source and destination for clearing registers or masking operations. Instructions operate exclusively on these registers for and logic, using R-type (register-register), I-type (register-immediate), S-type (store), B-type (), U-type (upper immediate), and J-type (jump) formats, all fixed at 32 bits to avoid variable-length decoding complexity in baseline implementations. This uniformity allows for straightforward, microcode-free execution units, as opcodes and function codes directly map to operations without legacy compatibility overhead. Key instructions encompass load operations (e.g., LB for signed byte, LW for word with zero or ), store operations (SB, SW), addition/subtraction (ADD, SUB, ADDI with 12-bit signed immediates), logical operations (, XOR, and immediate variants), shifts (SLLI, SRLI, SRAI by up to 5 or 6 bits depending on XLEN), and control transfers including conditional branches (BEQ, BLTU for unsigned comparisons) with ±4 KiB offsets and unconditional jumps (JAL, JALR for link-and-jump with register-indirect addressing). Pseudoinstructions like (register copy via ADDI x0) and NOT (via XOR -1) simplify without expanding the set. These provide complete support for straight-line , loops, and calls, forming a Turing-complete foundation compatible with standard compilers like and . The RV32I/RV64I base was ratified as version 2.1 in August 2017, with the specification emphasizing simplicity to cover essential integer computation while deferring specialized operations to extensions, thereby minimizing gate count—estimated at under 2,000 logic gates for a basic RV32I core—and enabling verification through formal methods. This design prioritizes implementer freedom, as no floating-point, multiplication, or atomic primitives are mandated, allowing tailored subsets for ultra-low-power microcontrollers while ensuring interoperability via the frozen core.

Standard Extensions and Ratified Features

RISC-V standard extensions augment the base (RV32I or RV64I) with optional, modular features that implement common operations without modifying the core architecture, enabling tailored implementations for specific performance and area constraints. These extensions are denoted by single-letter suffixes in ISA strings, such as RV32IM for base with support, and are designed for across compatible hardware and software ecosystems. Ratified extensions form frozen specifications that ensure , as subsequent revisions create new extension names rather than altering existing ones. The M extension provides instructions for and , including signed and unsigned variants like MUL, MULH, DIV, and REM, operating on the general-purpose registers without dedicated hardware units in minimal configurations. This extension supports efficient arithmetic in applications requiring precise operations, such as and , while allowing omission in resource-constrained systems. The A extension introduces atomic memory operations (AMOs), including load-reserved/store-conditional (LR/SC) pairs and arithmetic fetch-and-op instructions like AMOSWAP and AMOADD, which facilitate lock-free and data structures in multiprocessor environments. These primitives enable scalable parallelism by avoiding traditional locks, critical for where contention limits throughput. The F and D extensions implement IEEE 754-2008 compliant single-precision and double-precision , respectively, with D requiring F and adding instructions such as fused multiply-add (FMADD) and format conversions. F supports basic operations like addition, multiplication, and comparisons using dedicated floating-point registers, while D extends precision for scientific and , ensuring deterministic behavior across implementations. The C extension encodes a subset of common instructions in 16-bit compressed formats, reducing static and dynamic code size by 20-35% in embedded workloads through denser instruction memory usage. Ratified in version 2.0, it prioritizes high-frequency operations like loads, jumps, and arithmetic, achieving compatibility with 32-bit aligned decoding while minimizing fetch bandwidth and cache pressure.

Profiles, Platforms, and Custom Extensions

RISC-V profiles standardize subsets of the () to promote and reduce ecosystem fragmentation by mandating specific base ISAs and extensions for targeted application domains. The RVA profile family targets 64-bit general-purpose application processors suitable for running rich operating systems, servers, and compute-intensive workloads, while the RVB family addresses and scenarios. Profiles specify mandatory and optional extensions, ensuring binary compatibility across compliant implementations without precluding vendor differentiation. The RVA23 profile, ratified by RISC-V International on October 21, 2024, represents the latest advancement in this framework, requiring the RV64I base ISA alongside ratified extensions such as the vector extension (RVV 1.0) for accelerating AI/ML and mathematical computations, the hypervisor extension (H) for virtualization in server environments, and others like the scalar cryptography extension (Zk) and bit manipulation subsets (Zba, Zbb, Zbc, Zbs). This profile, particularly the RVA23U64 variant for user-mode execution, aligns implementations for seamless software portability, with mandatory vector support enabling efficient handling of data-parallel tasks in AI accelerators and high-performance computing. By October 2025, RVA23 compliance has facilitated porting efforts like NVIDIA's CUDA to RISC-V platforms, underscoring its role in mitigating fragmentation for AI hardware ecosystems. RISC-V platforms build on these profiles by defining execution environments, such as RVA23S64 for supervisor-mode operations in and systems, incorporating features like page-based (Sv39) and advanced handling to support enterprise-grade deployments. Discussions around future iterations, like potential RVA24U64, anticipate incorporating emerging mandatory extensions for enhanced scalability, though as of October 2025, RVA23 remains the ratified baseline driving commercial alignments. Custom extensions enable vendors to add instructions beyond requirements, fostering innovation in domain-specific accelerators while preserving with the core . These occupy designated encoding subspaces—such as the four custom-0 through custom-3 opcode regions (allocated 4% of the 32-bit space each)—prefixed to opcodes and avoid conflicts with or future extensions. Profiles enforce on opcodes within standard spaces, leaving gaps for customs that do not alter base integer behavior, as evidenced by implementations appending or AI-tuned instructions post-RVA compliance to target workloads like inference without ecosystem breakage.

Privileged Architecture and Security Modes

The RISC-V privileged architecture specification, ratified as version 1.12 in December 2021, extends the unprivileged instruction set to support operating system execution and hardware virtualization through defined privilege modes, trap mechanisms, and memory protection features. This architecture mandates machine mode (M-mode) as the highest privilege level for firmware and boot processes, supervisor mode (S-mode) for operating systems, and user mode (U-mode) for application execution in systems supporting virtual memory. Hypervisor mode (H-mode), an optional extension, enables nested virtualization by virtualizing S-mode interfaces, allowing guest operating systems to run under a hypervisor without direct access to physical hardware. These modes enforce strict privilege escalation rules, where lower-privilege code traps to higher modes on faults, ensuring isolation without reliance on proprietary vendor extensions. Trap handling forms the core of inter-privilege communication, capturing synchronous exceptions (e.g., illegal instructions, page faults) and asynchronous (e.g., timers, I/O) via and status registers (CSRs) such as mstatus, sstatus, mtvec, and stvec for configuring handler vectors and status. Upon a , the saves the current and status in CSRs like mepc or sepc, then delegates handling to M-mode or S-mode based on configurable interrupt enable bits and priority schemes, with support for both direct and modes up to 2^31 unique vectors in advanced implementations. This mechanism supports OS isolation by preventing user-mode code from directly accessing privileged CSRs or hardware, while allowing supervisor-mode delegation of non-critical traps to avoid unnecessary overhead in M-mode. Physical memory protection (PMP), implemented via machine-mode CSRs like pmpcfgx (up to 16 configurable regions) and pmpaddrx, provides granular, region-based over physical addresses, restricting even S-mode code from unauthorized memory regions to safeguard secrets and enable secure processes. Unlike ARM's TrustZone, which relies on opaque secure/ world partitioning with limited for , RISC-V's open PMP and mode-based permit auditable, standards-compliant models that support multiple concurrent protected domains without vendor-specific binaries. support in H-mode extends this by emulating S-mode page tables through two-stage address translation, allowing s to isolate guest VMs while maintaining performance through hardware-assisted trapping of sensitive operations. These features collectively enable verifiable OS and VM in resource-constrained systems, with empirical implementations demonstrating low-latency trap delegation comparable to architectures but with greater flexibility for custom extensions.

Key Technical Features

Register Architecture and Instruction Encoding

The RISC-V features a uniform file of 32 general-purpose (GPRs), labeled x0 through x31, which serve as the primary for computations in both RV32 and RV64 variants. In RV32 implementations, each holds 32-bit values, while RV64 uses 64-bit ; the x0 is hardwired to zero and cannot be modified, providing a constant without additional for zero extension or in many operations. This flat model eschews specialized accumulators or fixed-purpose found in some older RISC designs, aligning with core RISC principles of load-store and - operations to minimize state dependencies and enhance compiler optimization freedom. The (ABI) classifies into caller-saved (temporary t0–t6 and argument a0–a7) and callee-saved (saved s0–s11), with x1 as the return address (ra) and x2 as the stack pointer (sp), enabling predictable spilling and function call overhead management across implementations. Instruction encoding in the base integer ISA (RV32I and RV64I) employs fixed-length 32-bit formats to streamline hardware decoding, with six primary types: R-type for register-register arithmetic (e.g., opcode in bits 6–0, rd in 11–7, func3 in 14–12, rs1 in 19–15, rs2 in 24–20, func7 in 31–25); I-type for immediate arithmetic or loads; S-type for stores (sharing immediate fields with I-type but adjusted for memory addressing); B-type for conditional branches; U-type for upper-immediate loads like LUI and AUIPC (20-bit immediate in bits 31–12); and J-type for unconditional jumps like JAL (20-bit signed offset encoded non-contiguously for density). This uniform 32-bit alignment reduces decode logic complexity relative to variable-length ISAs, as fixed boundaries eliminate the need for length-prefixed parsing or multi-cycle fetches, thereby lowering dynamic power in the instruction fetch unit—evident in microarchitectural analyses where simpler decoders contribute to 10–20% reduced energy per instruction in baseline RISC cores compared to CISC decoders handling variable opcodes. The optional extension introduces compressed 16-bit encodings for the most frequent (e.g., short loads, adds, branches), intermixed with 32-bit and aligned to 2-byte boundaries, which the distinguishes via the top two bits (00, 01, or 10 for compressed; 11 for 32-bit). These mappings densify code by replacing common 32-bit patterns—such as ADDI x0 equivalents or stack-relative accesses—with shorter forms, yielding 25–30% average static code size reduction in compiled benchmarks like or SPECint subsets, which translates to improved cache hit rates and fetch bandwidth efficiency, particularly in systems where dominates. This does not alter the register file or ABI but enhances overall efficiency without introducing variable-length decoding overhead in the base path, as hardware can expand 16-bit opcodes to 32-bit equivalents early in the .

Memory Model and Atomic Operations

The RISC-V architecture adopts the RVWMO (RISC-V Weak Memory Ordering) memory consistency model, a variant of release consistency designed to enable high-performance implementations through relaxed ordering of memory operations while ensuring deterministic behavior via explicit synchronization primitives. This weak model permits loads and stores from a single hart (hardware thread) to be reordered relative to one another, as well as across harts, unless constrained by acquire/release semantics, fences, or atomic instructions, thereby supporting out-of-order execution, speculative loads, and scalable cache coherence protocols without mandating sequential consistency. RVWMO defines a global total order on all memory operations (the coherence order) and per-hart program orders, with visibility rules enforced through synchronization points to prevent data races in multithreaded code. The 'A' standard extension introduces atomic instructions to support lock-free synchronization under RVWMO, including load-reserved (LR) and store-conditional (SC) pairs for implementing atomic updates via reservation-based loops, as well as for read-modify-write primitives like , swap, and . LR acquires an address reservation that tests for exclusivity; if no intervening modification occurs (as observed in the order), succeeds and updates the location atomically with respect to other harts, but failures due to reservation revocation (e.g., from traffic) require retry loops. perform indivisible operations directly, with optional (aq) and (rl) bits to strengthen ordering: aq prevents preceding operations from being reordered after the AMO, while rl ensures the AMO completes before subsequent operations, integrating seamlessly with RVWMO's release consistency guarantees. RISC-V memory is byte-addressable, with addresses specifying individual bytes rather than words, and employs little-endian byte ordering by default, where multi-byte values store least-significant bytes at lower addresses. Misaligned memory accesses—those spanning non-natural boundaries (e.g., a 32-bit load at an odd address)—are permitted but implementation-dependent: cores may handle them transparently via or traps, though standard software must assume such accesses succeed only for correctness, not , as execution may be significantly slower or provoke exceptions in some environments. This flexibility allows simple in-order cores to raise precise exceptions on misalignment while enabling efficient handling in superscalar designs.

Control Flow and Subroutine Handling

The RISC-V base integer ISA (RV32I and RV64I) supports conditional branches via six instructions—BEQ, BNE, BLT, BGE, BLTU, and BGEU—that compare register values and transfer control to a signed PC-relative offset if the condition holds. These instructions encode a 12-bit immediate offset, enabling forward or backward branches up to ±4 KiB from the current PC, with the offset scaled by 2 bytes to align with instruction boundaries. All conditional branches are direct and PC-relative, excluding indirect variants in the base ISA to limit control-flow complexity. Unconditional jumps use JAL for PC-relative transfers, encoding a 20-bit signed immediate offset (up to ±1 MiB, scaled by 2) while storing the address of the next instruction (PC+4) in a destination register, typically x1 (ra) for subroutine calls. JALR complements this by adding a 12-bit signed immediate to a base register (rs1) for the target address, optionally saving the return address in rd; when rd is zero, it serves as a return instruction without link update. This pair supports position-independent code, as PC-relative JAL avoids absolute addressing reliant on fixed load locations, reducing relocation overhead in shared libraries compared to architectures requiring global offset tables for all jumps. The extension introduces compressed 16-bit variants for density: C.BEQZ and C.BNEZ for zero-testing branches with offsets up to ±1 KiB (8-bit signed, scaled), and C.J/C.JAL for unconditional jumps with ±4 KiB/±2 KiB reach respectively, preserving PC-relativity. These reduce code size by 20-30% in typical workloads while maintaining compatibility. By omitting conditional indirect branches in the base ISA—relying solely on direct PC-relative forms and unconditional JALR—RISC-V prioritizes hardware simplicity for prediction and , as predictors handle fixed targets more efficiently than variable register-derived ones. This design trades flexibility for reduced in control-flow hijacking (e.g., fewer ROP gadgets) and easier verification, contrasting x86's broader indirect forms that complicate speculation recovery and increase misprediction penalties by up to 2-5x in benchmarks. Empirical measurements on RISC-V cores show 10-15% lower misprediction rates versus equivalent indirect-heavy code paths, though dynamic indirect needs invoke extensions or software workarounds at minor cost.

Specialized Extensions: Vector, SIMD, and Bit Manipulation

The RISC-V Extension (RVV) version 1.0, ratified in November 2021, introduces scalable processing through a set of registers with configurable maximum (VLEN), allowing implementations to support variable widths from 8 bits to thousands of bits depending on hardware. The extension employs a multiplier (LMUL) to group multiple registers into wider logical , facilitating efficient data-parallel operations across diverse workloads without mandating fixed sizes at the level. This design contrasts with fixed-width SIMD paradigms in other architectures, enabling greater portability as code can adapt to varying hardware capacities via dynamic configuration at . RVV supports a wide range of element types and operations, including masked execution for conditional processing and gather-scatter memory accesses, which enhance its applicability to irregular data patterns in scientific computing and inference. For resource-constrained systems, subset extensions such as Zve32x4, Zve64d, and others provide scaled-down capabilities akin to packed SIMD, ratified as part of vector profiles to balance performance with area efficiency in low-power devices. These subsets limit vector register counts and lengths while retaining core RVV primitives, enabling SIMD-style parallelism for without the full overhead of the complete V extension. Complementing vector capabilities, the bit manipulation extensions—Zba for address-related bit operations (e.g., extract and deposit), Zbb for basic manipulations (e.g., count leading/trailing zeros via CLZ/CTZ, bit reversals, and shifts), Zbs for single-bit instructions, and Zbt for ternary operations—were ratified in November 2021. These instructions accelerate low-level bit handling prevalent in cryptography, hashing, and compression algorithms by replacing multi-instruction base ISA sequences with atomic primitives, thereby improving code density and execution efficiency in scalar contexts. In AI/ML applications as of 2025, RVV's vectorization aids data-parallel tensor operations, with custom matrix extensions emerging to target specific accelerators for workloads like neural network training; however, adoption remains constrained by ecosystem immaturity relative to mature GPU frameworks such as NVIDIA CUDA, despite recent compatibility efforts.

Implementations

Commercial and High-Volume Hardware

has emerged as a prominent vendor of commercial RISC-V processor IP, with its U74 core, introduced in October 2018, targeting latency-sensitive applications such as baseband processing and enterprise storage systems. The U74 supports 64-bit addressing and compatibility, enabling integration into high-performance SoCs for edge and mobile devices, including efficiency cores in smartphones where verified deployments have occurred via partners like Huawei's designs. 's IP portfolio emphasizes scalability and customization, contributing to cost reductions in microcontroller units (MCUs) by avoiding ARM's royalty fees, which can exceed 1-2% of chip revenue. Andes Technology specializes in embedded RISC-V cores for and , achieving over 5 billion cumulative shipments of SoCs incorporating its by April 2020, with continued growth into high-volume markets. The AX45MP core, a multi-processor variant, powers devices and has been adapted for edge inference, including support for large models like DeepSeek as demonstrated in 2025 updates. Andes' focus on power-efficient designs has driven adoption in battery-constrained applications, where RISC-V's royalty-free model yields 10-20% savings over licensed alternatives in mass-produced MCUs. By 2025, -related revenue accounted for 38% of Andes' total, reflecting expanded integrations in smart sensors and connected devices. Alibaba's T-Head subsidiary develops the XuanTie series for server-grade applications, with the C930 core launched in March 2025 featuring high-performance multi-core configurations for and (HPC) workloads. Designed for 64-bit scalability, the C930 targets deployments in , where custom RISC-V implementations have proliferated amid U.S. export restrictions, enabling domestic server volumes that prioritize sovereignty over dependency in state-backed infrastructures. T-Head's efforts support Alibaba Cloud's optimization of compute resources, with shipments commencing shortly after announcement to address latency-critical tasks in and cloud services. Overall, commercial RISC-V hardware from these vendors has scaled to high volumes, with Semico Research projecting over 62 billion cores consumed by 2025, predominantly in royalty-sensitive sectors like MCUs and where ARM alternatives incur ongoing licensing costs. This growth underscores RISC-V's appeal for for-profit customization without proprietary lock-in, though adoption remains concentrated in and China-centric high-end markets rather than broad smartphones.

Open-Source and Academic Cores

The core, originating from the , represents one of the earliest open-source RISC-V implementations, serving as an in-order, scalar processor supporting the RV64GC . Developed as part of the Rocket Chip generator, it enables configurable system-on-chip (SoC) designs through the hardware description language, which compiles to synthesizable for agile prototyping and research. Released around 2015, Rocket facilitated reproducible academic experiments by providing a baseline for exploring RISC-V microarchitectures without proprietary restrictions. Building on Rocket, the Berkeley Out-of-Order Machine (BOOM) extends open-source RISC-V research with a superscalar, out-of-order core also implemented in Chisel and targeting RV64GC. Introduced via a 2015 technical report, BOOM incorporates explicit register renaming and serves as a parameterized baseline for microarchitectural studies, including pipeline optimizations and branch prediction enhancements. Its design draws causal inspiration from historical processors like the MIPS R10000, emphasizing synthesizability for FPGA-based validation in academic settings. For embedded and security-focused applications, the core from lowRISC provides a compact, 32-bit in-order RISC-V processor written in , optimized for low-power and silicon root-of-trust systems like OpenTitan. Parametrizable for features such as branch prediction and multiplied instructions, emerged around 2019 as a production-ready alternative, enabling FPGA prototypes for verifying secure boot and fault-tolerant behaviors without licensing fees. These cores, generated via tools like or directly in , lower barriers to global innovation by eliminating IP acquisition costs, though their varying maturity levels can introduce inconsistencies in depth and predictability across implementations. Academic use often involves FPGA deployment for rapid iteration, as seen in prototypes testing RISC-V extensions for .

Student-Led Implementations

University student teams contribute to open-source RISC-V cores through national contests focused on architectural modifications. The French national RISC-V student contest, organized by GDR SoC2 and CNFM and entering its 6th edition for 2025-2026, involves teams of 2-4 students supervised by professors designing enhancements to soft cores such as CV32A6 or CVA6. Tasks include accelerating algorithms like FFT or MNIST inference and improving security features, thereby extending academic implementations with practical innovations.

Performance Benchmarks and Real-World Deployments

RISC-V cores implementing the RV64GC profile have demonstrated instructions per cycle (IPC) rates comparable to ARM Cortex-A series processors in equivalent process technologies, with benchmarks indicating similar throughput on integer workloads despite architectural differences in decoding complexity. In CoreMark evaluations, RISC-V processors such as the SiFive U74 achieve competitive scores against ARM Cortex-A53 equivalents, with system-level simulations showing the U74 delivering lower latency in certain embedded tasks while consuming comparable power. Dhrystone MIPS per MHz (DMIPS/MHz) metrics further highlight parity or advantages; for instance, select RISC-V designs reach 1.71 DMIPS/MHz, surpassing the ARM Cortex-M3's 1.50 DMIPS/MHz, attributed to efficient register usage and reduced instruction encoding overhead in RV64GC. Area efficiency represents a key strength, as RISC-V's modular instruction set allows implementations to exclude unused extensions, yielding 20-30% smaller die footprints than comparably performing cores in benchmarks targeting applications. Power consumption in these tests aligns closely with baselines, with RISC-V often exhibiting 10-20% better per operation in low-power modes due to customizable pipelines, though dynamic voltage scaling optimizations are implementation-dependent. Early real-world deployments underscore practical viability; integrated RISC-V cores into SSD controllers by 2017, committing to transition over one billion annual cores from proprietary architectures, enabling cost reductions and faster iteration in storage firmware. By 2025, amid U.S. export controls limiting access to advanced and x86 AI accelerators, Chinese firms have deployed RISC-V-based edge processors, such as those compliant with national guidelines for , achieving inference performance suitable for and surveillance without restricted technologies. The facilitates targeted optimizations—such as selective vector extensions for workloads—enhancing performance-per-watt in deployments, but incurs overhead from custom configurations, necessitating to exhaustively test extensions and mitigate bugs missed by . This trade-off delays time-to-market compared to fixed ISAs like , though open tooling mitigates long-term costs.

Software Ecosystem

Compilers, Assemblers, and Toolchains

The GNU Compiler Collection (GCC) provides mature support for RISC-V, with the backend upstreamed into the mainline by GCC 7.1 in May 2017, following development that began shortly after the ISA's inception in 2010. This enables compilation of C, C++, and other languages to RISC-V targets, including base integer instructions and common extensions like multiplication, atomic operations, and compressed code. The LLVM/Clang compiler suite achieved full RISC-V backend integration by 2019, supporting code generation across 32-bit and 64-bit variants, with ongoing enhancements for features like vectorization and custom instructions. Assemblers for RISC-V are primarily provided through the GNU Binutils suite, which includes the GNU assembler (gas) capable of handling the modular instruction set, including extensions for atomicity and compression. Binutils also supplies linkers, debuggers, and utilities like objdump for disassembly, ensuring compatibility with ELF formats used in RISC-V binaries. Recent releases, such as Binutils 2.45 in 2025, have expanded support for RISC-V-specific features like vendor extensions. Complete toolchains are distributed via the riscv-gnu-toolchain project, which builds , Binutils, and supporting libraries (e.g., Newlib for bare-metal or for targets) into cross-compilation environments. These toolchains facilitate development for embedded and hosted systems, with prebuilt binaries available for common hosts like x86 . For validation, the simulator serves as a reference ISA emulator, executing compiled binaries to verify compliance with the RISC-V specification without hardware. provides full-system emulation, allowing toolchain-generated code to be tested in virtualized environments mimicking RISC-V boards. Benchmark comparisons show RISC-V compilers producing with density comparable to v7-M in scalar workloads, but extension optimizations lag, often resulting in 10-30% performance deficits relative to mature ARM toolchains due to less refined autovectorization and scheduling. Recent LLVM improvements have narrowed gaps in some cases by up to 15% through better and interprocedural analysis.

Operating Systems and Runtime Support

The Linux kernel added mainline support for RISC-V in version 4.15, released on November 12, 2017, enabling basic booting and execution on compatible hardware such as the SiFive HiFive Unleashed board. By 2025, ongoing upstream contributions have expanded support in kernels like 6.18, incorporating features such as the RPMI platform communication interface for server environments and improved SoC compatibility, though full hardware peripheral coverage remains dependent on vendor-specific integrations. FreeBSD achieved Tier-2 support for RISC-V starting with version 13.0 in 2021, allowing self-hosting and broader platform compatibility, including 64-bit RV64GC configurations on boards like the SiFive Unmatched. This status indicates reliable daily use but requires ongoing development for Tier-1 parity with architectures like x86 or ARM. Zephyr, a real-time operating system (RTOS) for embedded systems, has included RISC-V support since version 1.13 in 2018, covering RV32IMAC cores and peripherals on platforms such as the SiFive HiFive1, with extensions for virtualization and multi-core scenarios by 2024. Firmware and bootloader support underpins OS runtime on RISC-V, with U-Boot providing a universal since its initial RISC-V port, handling device tree loading, , and SPL (Secondary Loader) for low-level initialization across virt and physical machines. OpenSBI serves as a of the RISC-V (SBI), running in M-mode to manage supervisor-mode software transitions, power management, and timer interrupts; it integrates with bootloaders like U-Boot for full boot chains on platforms from emulation to production servers. Runtime challenges persist due to RISC-V's , which leads to incomplete mainline drivers for custom extensions and peripherals, often necessitating vendor-supplied out-of-tree patches for features like advanced networking or storage on proprietary SoCs. This fragmentation mirrors early experiences, where reliance on downstream kernels hinders portability and long-term stability, though upstreaming efforts by 2025 aim to mitigate it through standardized profiles like RVA23. For , RISC-V guests under hypervisors like KVM benefit from SBI extensions, but host-side maturity lags in handling diverse custom hardware without additional patches.

Libraries, Applications, and Optimization Challenges

The GNU C Library (glibc) provides partial support for RISC-V, with 64-bit (RV64) capabilities upstreamed since around 2018, though 32-bit (RV32) integration remains incomplete and features like hardware probe detection are absent as of late 2023. In contrast, musl libc offers more comprehensive coverage, including full 64-bit support since earlier releases and the addition of official 32-bit RISC-V ports in version 1.2.5 released on March 1, 2024, enabling lighter-weight deployments in embedded systems. These libraries facilitate porting of standard C applications, but gaps persist in full feature parity, such as advanced locale handling or certain math routines optimized for proprietary ISAs. Application ecosystems leverage these foundations, with Linux distributions running user-space software like web servers and databases, though performance tuning requires custom builds. support remains experimental; initiated maturation in 2023 but removed RISC-V from the common kernel in May 2024 due to rapid ISA evolution, citing iteration challenges, while affirming continued backing—evidenced by Android 15 demonstrations on RISC-V platforms in April 2025. Fragmentation across extension combinations (e.g., varying vector lengths) complicates binary compatibility and app deployment, hindering widespread adoption compared to uniform profiles. Optimization efforts center on compiler-driven techniques, with enabling auto-vectorization for the ratified RISC-V Vector extension (RVV 1.0) since version 14 in March 2022, allowing loops to exploit SIMD parallelism without manual intervention, though efficacy depends on code structure and requires flags like -Rpass=loop-vectorize for verification. lagged but added similar RVV auto-vectorization support by 2023; however, custom extensions demand hand-written intrinsics or , as automated tools struggle with non-standard opcodes. For workloads, empirical libraries emerged in 2025, including optimized ExecuTorch backends for on resource-constrained RISC-V devices, targeting inference on embedded hardware but trailing vendor-tuned equivalents in throughput. The open nature of RISC-V accelerates initial ports by avoiding licensing barriers, yet it fosters delays relative to , where proprietary vendor incentives—such as Arm's funds and implementations—drive rapid, workload-specific tuning and maturation. This causal dynamic results in RISC-V's software stack exhibiting higher fragmentation risks and optimization gaps, with empirical benchmarks showing 20-50% performance deficits in unoptimized code versus , necessitating community-driven efforts to close parity.

Adoption and Market Dynamics

Shipment Volumes and Market Share Metrics

RISC-V silicon reached an estimated 25% in units (MCUs) and accelerators by 2025, exceeding Omdia's prior forecast of achieving that threshold across broader processor markets by 2030 with 17 billion chips shipped annually. This acceleration reflects rapid adoption in volume-driven segments, though total remains modest given RISC-V's focus on customizable, low-to-mid-range cores rather than high-volume or legacy architectures. Global RISC-V shipments in 2025 totaled billions of units cumulatively, with Semico projecting over 62 billion cores shipped by year-end, predominantly in multi-core configurations for systems. drove roughly 50% of these volumes, led by Alibaba's XuanTie series—now the largest RISC-V IP provider by shipment—and Huawei's integrations in and edge devices. Western adoption lagged, constrained by less mature interoperability compared to ARM's , limiting penetration beyond niche prototypes. In markets, RISC-V captured growing traction for cost-sensitive applications, with over 10 billion cores deployed globally by mid-2025, enabling high-volume scalability where holds 95% in smartphones but cedes ground in MCUs due to licensing fees. Forecasts indicate potential for substantial dominance, as RISC-V's modular extensions facilitate tailored without royalties, though high-end performance metrics trail x86/ benchmarks by 20-50% in clock-for-clock comparisons.
Metric2025 EstimateSource
MCU/Accelerator Penetration25%RISC-V International / Tom's Hardware
Cumulative Cores Shipped>62 billionSemico Research
China Share of Shipments~50%EE Times
Deployed Cores (Mid-2025)>10 billionIndustry analysis

Sectoral Applications: Embedded, AI, and High-Performance

RISC-V cores from vendors such as Technology's D-series and SiFive's E-series have gained traction in systems, including devices and microcontrollers (MCUs), owing to the architecture's modularity that enables tailored extensions for low-power operation. targets embedded applications like with its 32/64-bit processors optimized for power efficiency in sectors including automotive and . SiFive's UP201/UP301 MCU family, introduced in October 2025, integrates dual-core RISC-V with AI acceleration for ultra-low power consumption in battery-constrained scenarios, demonstrating efficacy through live efficiency benchmarks. The ISA's facilitates right-sized implementations that balance and energy use, outperforming more complex alternatives in code efficiency for resource-limited environments. In applications, RISC-V's vector extensions (RVV 1.0), ratified for scalable parallelism, enable efficient on-chip acceleration for without relying on discrete GPU offloads. SiFive's contributions to XNNPACK in December 2024 optimized floating-point microkernels using RVV, yielding measurable gains in throughput on and devices. ' NX27V processor incorporates vector extensions that accelerate algorithms via scalable operations, with benchmarks showing up to 3x improvements in related superscalar cores. By mid-2025, integrated neural processing units (NPUs) in RISC-V designs reduced energy for real-time , positioning the as AI-native for deployments where customizability trumps fixed-length vector limitations in competitors. Adoption exceeded expectations in SoCs by October 2025, driven by flexible extensions for data-parallel workloads. For (HPC), RISC-V powers experimental clusters like those evaluated with the SG2044 processor, which underwent performance analysis in August 2025 against prior generations and alternative architectures. Empirical benchmarks reveal gaps versus x86, including roughly 1.8x lower performance per clock in cores like the U74 compared to equivalents, limiting in general-purpose HPC tasks as of 2024-2025. Nonetheless, custom RISC-V implementations demonstrate efficacy in specialized workloads through extensions tailored for vector-heavy simulations, with studies confirming viability in Docker-containerized HPC environments alongside . Partnerships announced in June enhanced RISC-V's HPC tooling, enabling domain-specific optimizations that close perf-per-watt disparities in bespoke clusters.

Geopolitical Adoption Patterns and Strategic Implications

China has pursued aggressive adoption of RISC-V to achieve self-sufficiency amid U.S. restrictions on proprietary architectures such as and x86. Leading firms including Alibaba's T-Head have developed high-performance cores like the XuanTie C930 for server applications, while Phytium Technology has integrated RISC-V into processors for computing and embedded systems. In 2022, accounted for over 50% of the approximately 10 billion RISC-V cores produced globally, a pattern reinforced by government policies encouraging nationwide deployment announced in March 2025. This strategy enables circumvention of controls, allowing Chinese entities to design and manufacture chips for , , and uses without reliance on restricted technologies. In contrast, Western adoption, particularly in the U.S. and , emphasizes customized and security-hardened RISC-V implementations to address vulnerabilities in the open specification. U.S. firms have invested in RISC-V for applications from microcontrollers to accelerators, but deployment is tempered by concerns over uncontrolled proliferation. Policymakers highlight risks of , as the architecture's openness permits adversaries to adapt Western-derived designs, potentially undermining export regimes aimed at limiting advanced computing capabilities in . The geopolitical implications center on RISC-V's role in eroding U.S. technological leverage, with dominance fostering parallel ecosystems that could diverge from international standards. Security analyses from 2025 warn that unrestricted access aids Beijing's efforts, enabling scalable production of processors resistant to sanctions and complicating global security. This has prompted calls among U.S. lawmakers for targeted export controls on RISC-V tools and expertise, though enforcement challenges persist due to the architecture's decentralized, . Proponents of proprietary alternatives argue that such measures are essential to preserve strategic advantages, as shows open standards accelerating adversaries' capabilities without reciprocal benefits for originators.

Criticisms and Challenges

Technical and Design Flaws Identified by Experts

warned in July 2024 that RISC-V risks replicating the architectural errors of and x86 through unchecked extensions, predicting decisions that could inflate the (ABI) and introduce incompatibilities as vendors add proprietary features. He attributed this to RISC-V's encouraging fragmentation akin to historical bloat, where extensions accumulate without sufficient ratification, complicating and support. Critics highlight deficiencies in the base integer , where operations like loading arbitrary 32-bit constants demand two instructions ( for upper bits followed by ADDI), unlike single-instruction alternatives in , resulting in larger code footprints and fetch overheads that degrade performance in tight loops or cache-sensitive code. This multi-instruction requirement contributes to empirically observed instruction-per-clock () deficits in RISC-V cores versus established ISAs, with benchmarks showing up to 20-30% higher dynamic instruction counts for equivalent workloads due to immediate synthesis. The extension (RVV 1.0, ratified in ) has drawn expert scrutiny for design choices misaligned with modern superscalar processors, such as repurposing v0 for bits, which forces workarounds like shadowing in high-performance out-of-order cores to resolve conflicts between masking and data usage. Software engineer Casey Muratori argued this imposes unnecessary complexity and latency penalties, contrasting with dedicated registers in SVE or , and reflects an outdated emphasis on legacy models over optimizations for current CPU pipelines. While RVV's length-agnostic scalability avoids SIMD's fixed-width obsolescence, these elements yield measurable gaps in vectorized benchmark scores like extensions when compared to tuned or x86 implementations.

Ecosystem Maturity and Fragmentation Risks

The RISC-V software ecosystem lags behind ARM's in maturity, featuring fewer optimized libraries and persistent gaps in driver support for complex peripherals as of 2025. While toolchains like and provide foundational support, the scarcity of vendor-agnostic, performance-tuned libraries for applications such as or processing limits seamless deployment compared to ARM's decades-accumulated optimizations. For example, GPU remains incomplete in many configurations, with full-stack compatibility—encompassing OS, drivers, and application layers—only recently addressed in select cases like NVIDIA's July 2025 extension of drivers to RISC-V CPUs, underscoring prior deficiencies in hardware-software co-optimization. Custom extensions exacerbate fragmentation risks by enabling vendor-specific instructions that undermine compatibility without rigorous . Unratified profiles and proprietary additions require implementers to maintain bespoke toolchains and adaptations, resulting in forked software stacks that delay porting and increase development overhead. Empirical cases from deployments demonstrate slowed , as divergent extensions fragment portability and complicate across variants. The open-standard model, absent centralized licensing enforcement, causally amplifies these issues by permitting unchecked proliferation of incompatible customs, contrasting with proprietary ISAs' controlled evolution. RISC-V International has introduced compatibility initiatives, such as profiles for ratified subsets, yet persistent vendor incentives for sustain of unity, as noted in ongoing technical . Without enhanced governance, this dynamic risks perpetuating software silos, hindering the scalability observed in more cohesive architectures.

Security Vulnerabilities and Standardization Hurdles

RISC-V's Physical Memory Protection (PMP) mechanism, ratified in 2019, provides basic memory isolation with up to 16 configurable regions for but lacks the comprehensive partitioning of TrustZone, which divides the into isolated secure and non-secure worlds with dedicated peripherals and dynamic context switching. This limitation makes PMP more akin to an (MPU) than a full (TEE), restricting its utility for advanced secure enclaves without additional custom extensions or software workarounds. Consequently, RISC-V implementations often require vendor-specific enhancements to achieve TrustZone-equivalent isolation, increasing fragmentation in security postures across devices. Speculative execution vulnerabilities, such as variants, pose significant risks to RISC-V processors with , where microarchitectural side channels enable data leakage during transient instructions, as demonstrated in attacks replicating x86 exploits on open-source RISC-V cores like BOOM. While mitigations like software barriers (e.g., SFENCE.VM) and defenses such as selective exist, the open ISA's diversity in implementations hinders uniform patching, unlike proprietary architectures where vendors can enforce standardized fixes across ecosystems. The absence of mandatory controls in the base ISA exacerbates this, with empirical tests showing higher vulnerability in optimized cores compared to simpler in-order designs. Standardization efforts face delays in ratifying security-focused extensions, with processes often spanning multiple years; for instance, the RISC-V Control Transfer Records (Smctr/Ssctr) for enhanced control-flow integrity were only ratified in November 2024 after years of development, postponing deployment of robust secure variants. Similarly, Pointer Masking (Smmp/Smmpm) for memory safety reached ratification in October 2024, reflecting a pattern where technical working groups (TWGs) encounter prolonged reviews and votes, as seen in ongoing 2025 approvals for vector-related security primitives. These hurdles, compounded by the shift to a new specification governance policy in January 2025, have prompted industry calls for accelerated TWG timelines to align with urgent needs for hardened profiles like RVA23, which still lag in comprehensive security mandates. The open-source model accelerates flaw discovery through community scrutiny but struggles with coordinated remediation, as disparate vendors prioritize custom implementations over unified ratified solutions.

References

  1. [1]
    High RISC, High Reward: RISC-V at 15
    May 19, 2025 · So in 2015, the RISC-V Foundation was founded to promote openness, neutrality, and prevent fragmentation, while establishing the ISA as a legal ...
  2. [2]
    [PDF] Design of the RISC-V Instruction Set Architecture - UC Berkeley
    Jan 3, 2016 · Overall, the ISA is complex and unwieldy: there are 1070 instructions, comprising. 53 formats and and eight data addressing modes [18], all of ...Missing: history | Show results with:history
  3. [3]
    [PDF] The RISC-V Instruction Set Manual Volume I
    ... RISC-V Hardware Platform Terminology. 11. 1.2. RISC-V Software Execution Environments and Harts. 11. 1.3. RISC-V ISA Overview. 12. 1.4. Memory. 15. 1.5. Base ...
  4. [4]
    [PDF] The RISC-V Instruction Set Manual, Volume I: User- Level ISA ...
    May 31, 2016 · Each base integer instruction set is characterized by the width of the integer registers and the corresponding size of the user address space.Missing: key | Show results with:key
  5. [5]
    Sustaining Standards Leadership: The United States Cannot ... - CSIS
    Apr 28, 2025 · As of 2025, many U.S. firms are rapidly investing in RISC-V ... Given the rapid adoption of the RISC-V platform and its benefits for ...Missing: controversies | Show results with:controversies
  6. [6]
  7. [7]
    RISC-V in 2025: Progress, Challenges,and What's Next for ...
    Mar 31, 2025 · One of the biggest barriers to widespread adoption of RISC-V is the software ecosystem, or to be blunt, the lack of a true software ecosystem.Missing: controversies | Show results with:controversies
  8. [8]
    About RISC-V International
    Research at Berkeley. Prof. Krste Asanović and graduate students Yunsup Lee and Andrew Waterman started the RISC-V instruction set in May 2010 as part of the ...
  9. [9]
    From Berkeley Lab to Global Standard: RISC‑V's 15-Year Journey
    May 18, 2025 · The story of RISC-V begins in 2010 at the Parallel Computing Laboratory (Par Lab) at UC Berkeley. Spearheaded by Professor Krste Asanović ...From Berkeley Lab To Global... · The Rise Of Open Hardware · Looking Ahead: Risc-V's Next...
  10. [10]
    [PDF] RISC-V
    2010 Krste Asanovic, et al, at UC Berkeley, were doing research on the architecture of custom accelerators and needed an ISA to base their work on. • x86 couldn ...
  11. [11]
    [PDF] The RISC-V Instruction Set Manual, Volume I: Base User-Level ISA
    May 13, 2011 · Our intent is to provide a long-lived open ISA with significant infrastructure support, includ- ing documentation, compiler tool chains, ...
  12. [12]
    RISC-V - Part 1: Origins and Architecture - The Chip Letter
    Aug 6, 2023 · A group of researchers, including David Patterson and Krste Asanović, started meeting to discuss issues around parallelism in computing system.
  13. [13]
    UCB-BAR: Rocket Chip Generator
    Rocket Chip is Berkeley's RISC-V based SOC generator. The open-source release is capable of generating a multi-core system with Rocket scalar cores.
  14. [14]
    About lowRISC - University of Cambridge
    lowRISC is creating a fully open-sourced, Linux-capable, RISC-V-based SoC, that can be used either directly or as the basis for a custom design.Missing: origins | Show results with:origins
  15. [15]
    RISC-V Celebrates 10 Years of Open-Source ISA - News
    Aug 25, 2020 · The RISC-V Foundation was formed in 2015 with 36 founding members. ... In March of this year, the RISC-V International Association was established ...
  16. [16]
    ITNews Article: Western Digital Takes The Risk And Leads An Open ...
    Nov 16, 2018 · To promote RISC-V, Western Digital has partnered with Berkeley University to initiate the move, as a founding member of the RISC-V consortium.Missing: initial | Show results with:initial
  17. [17]
    Andes Technology Steps Up to Premier Membership in RISC-V ...
    Jun 8, 2020 · As the founding Premier member of RISC-V International, Andes is the first mainstream CPU vendor that adopted the RISC-V as the base of its ...Missing: Western Digital
  18. [18]
    Technical Committees & Working Groups - RISC-V International
    The Technical Steering Committee (TSC) is the technical governance body within RISC-V, made up of task group chairs and Premier members, with a hard limit ...
  19. [19]
    FAQ - RISC-V International
    In March 2020, the RISC-V International Association moved its incorporation to Switzerland. ... How does an open standard impact global innovation?Missing: relocation | Show results with:relocation
  20. [20]
    [PDF] RISC-V Overview and ISA Design - Hot Chips
    Aug 18, 2019 · • Vector specification 0.7.1 and tools released June 2019. - Largest single extension to date. - Target of advanced implementation work. • ...
  21. [21]
    Ratified Extensions - Home - RISC-V Tech Hub
    RISC-V State Enable Extension. November 2021. Smstateen. RISC-V "stimecmp / vstimecmp" Extension. November 2021. Sstc. RISC-V Vector Extension. November 2021.Missing: RVV v1.
  22. [22]
    RISC-V Announces Ratification of the RVA23 Profile Standard
    Oct 22, 2024 · Oct. 22, 2024 –. Vector and Hypervisor extensions are key mandatory components of the RVA23 Profile, addressing math-intensive workloads ...
  23. [23]
    Stream Computing RISC-V Matrix Extension Open Source Project ...
    Nov 7, 2024 · The latest RISC-V Matrix Instruction Set is designed with tile-based matrix multiplication architecture, which further improves the programming ...Missing: hypervisor | Show results with:hypervisor
  24. [24]
    The RISC-V Revolution: Insights from the 2025 Summits and...
    Oct 9, 2025 · Free from licensing fees and highly extensible, RISC-V powers everything from IoT devices to AI accelerators, with over 13 billion cores shipped ...
  25. [25]
    Welcome - RISC-V Summit Europe 2025
    The RISC-V Summit Europe is the premier event that connects the European movers and shakers – from industry, government, research, academia and ecosystem ...Presentations · Posters · Main program (closed) · TWGs and Tutorials (Mon. 12)<|control11|><|separator|>
  26. [26]
    RISC-V Summit North America
    Come be part of the RISC-V movement. It's community-curated content, research and innovation driving the next wave of growth for RISC-V.
  27. [27]
    RISC-V set to announce 25% market penetration - Tom's Hardware
    Oct 9, 2025 · Just last year, Omdia predicted that RISC-V would hit 25% share of the entire semiconductor market in 2030, reaching 17 billion chips shipped in ...
  28. [28]
    RISC-V set to announce 25% market penetration — open-standard ...
    Oct 16, 2025 · RISC-V International plans to announce that silicon on the open-standard has reached 25% market penetration later this month, according to an ...
  29. [29]
  30. [30]
    2025 Andes RISC-V CON Debuts in Seoul
    Sep 12, 2025 · The conference will be held on September 24, 2025, at EL Tower, Seoul, focusing on how RISC-V is accelerating innovations in AI and automotive ...
  31. [31]
    Andes Technology Hosts First-Ever RISC-V CON in Munich ...
    Oct 9, 2025 · Join Andes RISC-V CON Munich 2025 and discover how open computing is transforming AI, automotive, and beyond. Event Date/Time: October 14, 2025 ...
  32. [32]
    [PDF] AI on RISC-V The 3rd Processor Revolution - Andes Technology
    • Andes D25F RISC-V processor embedded. • Provide industry-leading performance of 2.59 DMIPS/MHz and 3.54 CoreMark/MHz. • By supporting RISC-V P extension ...
  33. [33]
  34. [34]
    [PDF] Instruction Sets Should Be Free: The Case For RISC-V
    Aug 6, 2014 · Thanks in part to the highly productive, open-source hardware design system. Chisel9, Berkeley has 8 silicon chips already and more in progress.
  35. [35]
    Arm 2026 Q1 Financials - by Ryan Smith and Dr. Ian Cutress
    Jul 30, 2025 · Broadly speaking, royalties on Armv8 chips are in the 2.5% to 3% range. Meanwhile for Armv9 cores, those royalties are closer to 5%. On top of ...
  36. [36]
    What is RISC-V and why is it important?
    Jan 11, 2024 · RISC-V is an open-source instruction set architecture (ISA), the fifth version of RISC, and an open standard, unlike proprietary architectures.
  37. [37]
    What RISC-V Means for the Future of Chip Development - CSIS
    Nov 13, 2024 · RISC-V presents an alternative platform that allows other countries, firms, and individuals to design chip architectures without the IP and cost ...
  38. [38]
    RISC-V: The AI-Native Platform for the Next Trillion Dollars of Compute
    Sep 5, 2025 · The debate around custom vs general compute is a key theme at this year's AI Infra Summit 2025, and there's no guessing which side RISC-V is on.Missing: controversies | Show results with:controversies
  39. [39]
    RISC-V extensions: what's available and how to find them
    In this article, we'll look at how extensions work at the lowest levels, how they are ratified and eventually standardized through RISC-V International (RVI).
  40. [40]
    An Introduction to RISC-V—Understanding RISC's Open ISA
    Jun 12, 2022 · Base Integer ISA. With only 47 instructions, the RV32I base integer ISA implements the absolutely necessary operations to achieve basic ...
  41. [41]
    How many x86 instructions are there? - The ryg blog - WordPress.com
    Aug 25, 2016 · According to Intel's XED, as of this writing, there are 1503 defined x86 instructions (“iclasses” in XED lingo), from AAA to XTEST.
  42. [42]
    [PDF] A Detailed Analysis of Contemporary ARM and x86 Architectures
    We find that ARM and x86 processors are simply engineering design points optimized for different levels of performance, and there is nothing fundamentally more ...
  43. [43]
    What Makes RISC-V Verification Unique?
    Mar 9, 2023 · The verification of a processor is a lot more complex than a comparably-sized ASIC, and RISC-V processors take this to another layer of complexity.
  44. [44]
    A RISC-V Progress Check: Benchmarking P550 and C910
    Jan 30, 2025 · P550 and C910, both RISC-V cores with out-of-order execution, have low clock speeds and fall short of Arm/Intel. P550 is more balanced, but C ...
  45. [45]
    An Empirical Comparison of the RISC-V and AArch64 Instruction Sets
    Nov 12, 2023 · The aim of this work is to compare the differences between AArch64 and RISC-V and how these affect a program's execution time.<|separator|>
  46. [46]
    A Minimal RISC-V - Semiconductor Engineering
    Jan 13, 2022 · The RISC-V ISA as specified is a minimal but complete processor architecture that can be implemented in less than 20K gates. The standard has ...<|control11|><|separator|>
  47. [47]
    2 RV32I Base Integer Instruction Set, Version 2.1 - Five EmbedDev
    RV32I contains 40 unique instructions, though a simple implementation might cover the ECALL/EBREAK instructions with a single SYSTEM hardware instruction that ...
  48. [48]
    RV64I Base Integer Instruction Set, Version 2.1
    RV64I widens the integer registers and supported user address space to 64 bits (XLEN=64 in RISC-V base unprivileged integer register state). 2. Integer ...Missing: details | Show results with:details
  49. [49]
    Ratified Specifications - RISC-V International
    Frozen. Changes are highly unlikely. A high threshold will be applied, and modifications will only be made in response to critical issues. Any other proposed ...Missing: milestones freezes timeline
  50. [50]
    M Standard Extension for Integer Multiplication and - Five EmbedDev
    This chapter describes the standard integer multiplication and division instruction extension, which is named “M” and contains instructions that multiply or ...
  51. [51]
    A list of RISC-V standard extensions - GitHub Gist
    Extension, Description. A, Atomic instructions. B, Bit manipulation. C, Compressed instructions. D, Double-precision floating-point.
  52. [52]
    [PDF] Reduce Static Code Size and Improve RISC-V Compression
    Jun 27, 2019 · Figure 4.1a shows that RVC reduces static code-size by 20%-35%, giving a 65%-80% compression rate. On average, 60% of the RISC-V instructions ...
  53. [53]
    17 “C” Standard Extension for Compressed Instructions, Version 2.0
    This chapter describes the current proposal for the RISC-V standard compressed instruction-set extension, named “C”, which reduces static and dynamic code size.
  54. [54]
    RISC-V Announces Ratification of the RVA23 Profile Standard
    Oct 21, 2024 · RVA Profiles align implementations of RISC-V 64-bit application processors that will run rich operating systems (OS) stacks from standard binary ...
  55. [55]
    NVIDIA on RVA23: “We Wouldn't Have Considered Porting CUDA to ...
    Aug 7, 2025 · The RISC-V RVA23 profile's ratification is already spurring top vendors to align on a common RISC-V hardware goal.
  56. [56]
    riscv/riscv-profiles: RISC-V Architecture Profiles - GitHub
    RISC-V Profiles. License. This work is licensed under a Creative Commons ... RVA23 and RVB23 Profiles, Ratified Latest. on Jan 21 · + 11 releases · Packages 0.
  57. [57]
    26 Extending RISC-V - Five EmbedDev
    Instruction Encoding Spaces and Prefixes. An instruction encoding space is some number of instruction bits within which a base ISA or ISA extension is encoded.
  58. [58]
    RISC-V extensions - Codasip
    There are two types of extension under the RISC-V standard. Firstly there are optional standard extensions that are ratified by RISC-V International.
  59. [59]
    Privileged Specification Version 1.12 Now Open to Public Review
    Sep 27, 2021 · We are delighted to announce that several specifications have been opened to public review. All of these specifications add functionality to the RISC-V ...Missing: date | Show results with:date
  60. [60]
    riscv-privileged-20211203.pdf
    No information is available for this page. · Learn whyMissing: ratification date<|separator|>
  61. [61]
    The RISC-V Instruction Set Manual, Volume II: Privileged Architecture
    This document describes the RISC-V privileged architecture, which covers all aspects of RISC-V systems beyond the unprivileged ISA.
  62. [62]
    5 Hypervisor Extension, Version 1.0 - Five EmbedDev
    This chapter describes the RISC-V hypervisor extension, which virtualizes the supervisor-level architecture to support the efficient hosting of guest operating ...
  63. [63]
    RISC-V Bytes: Privilege Levels - Daniel Mangum
    Dec 27, 2021 · These modes are listed in order of decreasing privilege, with Machine (M) mode being the most privileged and User (U) mode being the least. All ...
  64. [64]
    Introduction to RISC-V interrupt handling - Embien Technologies
    Jun 9, 2024 · While there could be upto 4096 CSRs, RISC-V specification defines about 200 + CSRs. ... RISC-V supports two main trap handling mechanisms: direct ...Overview Of The Risc-V... · Risc-V Control And Status... · Direct Vs Vectored Interrupt...
  65. [65]
    RISC-V trap (exception) handler - ztex, Tony, Liu - Medium
    Apr 12, 2022 · In this passage, we will only focus on how to handle traps and get to know what kind of traps it is.
  66. [66]
    Physical Memory Protection (PMP) - Ibex Documentation
    The Physical Memory Protection (PMP) unit implements region-based memory access checking in-accordance with the RISC-V Privileged Specification.
  67. [67]
    Platform Security Comparison: RISC-V vs ARM TrustZone - Toolify
    Apr 9, 2024 · Explore the differences in security between RISC-V and ARM TrustZone architectures in this in-depth comparison.
  68. [68]
    [PDF] A Method for Comparative Analysis of Trusted Execution Environments
    Jun 8, 2021 · In this thesis, we will examine. Intel Software Guard Extensions (SGX), Arm TrustZone, and RISC-V Physical. Memory Protection (PMP) in order to ...<|control11|><|separator|>
  69. [69]
    Adding Physical Memory Protection to the VeeR EL2 RISC-V Core
    Mar 11, 2024 · Physical Memory Protection (PMP) divides the system address map into regions with configurable permissions, using `pmpcfgX` and `pmpaddrX` ...
  70. [70]
    [PDF] A survey of the RISC-V architecture software support | HAL lirmm
    REGISTERS. RISC-V has 32 registers (x0-x31), and the RISC-V's Ap- plication Binary Interface (ABI) determines their name. Reg- ister zero is hardwired to ...
  71. [71]
    RISC-V Privilege Levels and System Startup - openEuler
    Nov 27, 2020 · It supports 32 general-purpose registers (GPRs). Each register has 32 bits and is represented by x0 to x31. The x0 register is reserved as ...
  72. [72]
    RISC-V Instruction Set Explanation - Fraser Innovation Inc
    Dec 1, 2020 · RISC-V has six base instruction formats: R-type (register-register), I-type (immediate/load), S-type (store), B-type (conditional branch), U- ...
  73. [73]
    [PDF] The RISC-V Compressed Instruction Set Manual, Version 1.9
    Nov 5, 2015 · The C extension allows 16-bit instructions to be freely intermixed with 32-bit instructions, with the latter now able to start on any 16-bit ...<|separator|>
  74. [74]
    3 steps to shrinking your code size, your costs, and your power ...
    Sep 16, 2024 · Step 1: Pick a RISC-V core that supports the Zc extensions. The Zc extensions are added on top of the RISC-V standard compressed instruction-set ...
  75. [75]
    16 RVWMO Memory Consistency Model, Version 2.0 - Five EmbedDev
    RISC-V uses a memory model called “RVWMO” (RISC-V Weak Memory Ordering) which is designed to ... For the official specifications refer to riscv.org.
  76. [76]
    [PDF] RISC-V Memory Consistency Model Tutorial
    May 7, 2018 · RISC-V MEMORY MODEL SPECIFICATION. • Chapter 6: RISC-V Weak Memory Ordering (“RVWMO”). • Chapter 20: “Zam” Std. Extension for Misaligned AMOs.
  77. [77]
    "A" Extension for Atomic Instructions, Version 2.1
    If neither bit is set on either LR or SC, the LR/SC sequence can be observed to occur before or after surrounding memory operations from the same RISC-V hart.
  78. [78]
    [PDF] The RISC-V Instruction Set Manual - UC Berkeley
    May 31, 2016 · Master's thesis, University of California, Berkeley, 2011. [29] Andrew Waterman, Yunsup Lee, David A. Patterson, and Krste Asanovic. The RISC-V.
  79. [79]
  80. [80]
    [PDF] Exploring speculation barriers for RISC-V selective speculation
    Furthermore, we demon- strate that selective speculation without confidentiality-tagged data fails to achieve a meaningful security-performance trade-off. 1 ...
  81. [81]
    RISC-V Vector Processing is Taking Off | SiFive
    Jun 20, 2022 · The RISC-V Vector Extension (RVV) Version 1.0 was ratified by RISC-V International in 2021. Since this public debut, there has been growing ...Missing: v1. 0 date
  82. [82]
    RISC-V Vector Extension V1.0 - Emergent Mind
    Jul 16, 2025 · RVV V 1.0 defines a set of vector registers, with configurable width (VLEN), that can be grouped into larger “logical” registers via the LMUL (“ ...
  83. [83]
    Efficient Architecture for RISC-V Vector Memory Access - arXiv
    Apr 16, 2025 · Unlike traditional SIMD extensions with fixed vector length, RVV is designed to support variable-length vectors, making it suitable for a broad ...Missing: evidence | Show results with:evidence
  84. [84]
    RISC-V Vector Extension — LLVM 22.0.0git documentation
    The RISC-V target supports the 1.0 version of the RISC-V Vector Extension (RVV). This guide gives an overview of how it's modelled in LLVM IR and how the ...
  85. [85]
    RISC-V - Green Hills Software
    Zve … Vector extensions for embedded processors, enabling a subset of V. Additionally, RISC-V includes a separate privileged instruction set specification.
  86. [86]
    Taxonomy of RISC-V Vector Extensions
    Mar 5, 2025 · RISC-V Vector 1.0 (RVV) was ratified in November 2021. The main extension, dubbed simply the “v” extension (as in the letter V, ...Missing: SIMD | Show results with:SIMD
  87. [87]
    RISC-V Bit-Manipulation ISA-extensions - Luffca
    May 26, 2023 · In this article, we introduce the RISC-V Bit-Manipulation extension based on “RISC-V Bit-Manipulation ISA-extensions“, which was ratified in November 2021.Missing: features CTZ shifts crypto reduction
  88. [88]
    RISC-V Bit-manipulation A, B, C and S Extensions | Five EmbedDev
    The bit-manipulation (bitmanip) extension collection is comprised of several component extensions to the base RISC-V architecture.Missing: features crypto
  89. [89]
    NVIDIA on RVA23: “We Wouldn't Have Considered Porting CUDA to ...
    Aug 7, 2025 · CUDA is coming to RISC-V, in yet another vote of confidence for an ecosystem entering a new phase of maturity. NVIDIA becomes the latest in a ...Missing: vector matrix
  90. [90]
    SiFive Core IP 7 Series Creates New Class of Embedded Intelligent ...
    Oct 31, 2018 · The U74-MC provides 64-bit addressability for real-time, latency sensitive applications such as 5G baseband processing, enterprise-class storage ...Missing: shipments smartphones
  91. [91]
    Tom's Hardware: "Huawei's HiSilicon Develops First RISC-V Design ...
    May 24, 2021 · The latest RISC-V cores that are actually shipping on boards now are fast enough to be the "efficiency" cores in a higher end phone, or the only ...
  92. [92]
    SiFive - Leading the RISC-V Revolution
    SiFive delivers high-performance, scalable processor core IP solutions tailored to your needs. With flexible customization and power efficiency, SiFive ...About Us · Careers · HiFive1 · HiFive Boards
  93. [93]
    Andes Technology Announces Over 5 Billion Cumulative Shipments ...
    Apr 2, 2020 · Andes Technology Announces Over 5 Billion Cumulative Shipments of SoCs Embedded with Its CPU IP Since Company Inception. By RISC-V Community ...Missing: AX45 | Show results with:AX45
  94. [94]
    [PDF] Andes Technology Corp. Investors Conference
    Sep 3, 2025 · copyright © 2025-2030 Andes Technology. DeepSeek on AndesCore AX45MPV. ▫ Cutting edge LLM/LMM model from China during the 2025 Chinese New ...
  95. [95]
    [PDF] Investors Conference - Andes Technology
    Apr 9, 2025 · IoT market by 2025. Counterpoint, Sept 2021. RISC-V-based AI SoCs will grow 73.6%. CAGR to 25B units and $291B in revenue by 2027. Semico ...
  96. [96]
    Andes Technology Achieves Record Annual Revenue Amid Strong ...
    Mar 14, 2025 · Eight Consecutive Years of Growth, with AI Customers Driving 38% of 2024 Revenue. San Jose, CA, March 14, 2025 (GLOBE NEWSWIRE) -- Andes ...Missing: AX45 | Show results with:AX45
  97. [97]
    Alibaba launches server-grade RISC-V CPU design - The Register
    Mar 5, 2025 · XuanTie, which shares some of its RISC-V CPU cores as open source, said its C930 can be used to build “a 64-bit high-performance multi-core ...
  98. [98]
    Alibaba launches RISC-V-based XuanTie C930 server CPU
    Mar 3, 2025 · Alibaba announces its new XuanTie C930 HPC CPU, built on RISC-V to boost China's domestic CPU market.Missing: deployments | Show results with:deployments
  99. [99]
    Alibaba Mounts Direct Challenge to Nvidia with New AI Chip
    Oct 7, 2025 · The flagship effort is the server-grade XuanTie C930 processor core, designed to be a “future-proof compute foundation that is completely ...
  100. [100]
    SiFive leads RISC-V segment - Jon Peddie Research
    Oct 25, 2024 · Semico Research recently predicted that the RISC-V market will consume over 62 billion cores by 2025 and that RISC-V CPU SIP royalties will ...<|control11|><|separator|>
  101. [101]
    Differences Between RISC-V and ARM Processors - BLIIoT
    Sep 16, 2025 · ARM-based chips annual shipments: ~30 billion (2023) · RISC-V-based chips annual shipments: ~2 billion (2023) ...
  102. [102]
    3.2. Rocket Core - Chipyard's documentation
    Rocket is a 5-stage in-order scalar processor core generator, originally developed at UC Berkeley and SiFive, and now maintained by Chips Alliance.<|separator|>
  103. [103]
    chipsalliance/rocket-chip: Rocket Chip Generator - GitHub
    This repository contains the Rocket chip generator necessary to instantiate the RISC-V Rocket Core. For more information on Rocket Chip, please consult our ...
  104. [104]
    RISC-V BOOM
    The Berkeley Out-of-Order Machine (BOOM) is a synthesizable and parameterizable open source RV64GC RISC-V core written in the Chisel hardware construction ...BOOM Publications · RISCV-BOOM documentation · News · User Publications
  105. [105]
    An Industry-Competitive, Synthesizable, Parameterized RISC-V ...
    BOOM is a synthesizable, parameterized, superscalar out-of-order RISC-V core designed to serve as the prototypical baseline processor for future micro- ...
  106. [106]
    The Berkeley Out-of-Order Machine (BOOM)
    BOOM implements the open-source RISC-V ISA and utilizes the Chisel hardware construction language to construct generator for the core. A generator can be ...
  107. [107]
    lowRISC/ibex: Ibex is a small 32 bit RISC-V CPU core ... - GitHub
    Ibex is a production-quality open source 32-bit RISC-V CPU core written in SystemVerilog. The CPU core is heavily parametrizable and well suited for embedded ...
  108. [108]
    Ibex: An embedded 32 bit RISC-V CPU core — Ibex Documentation ...
    Ibex is a production-quality open source 32 bit RISC-V CPU core written in SystemVerilog. The CPU core is heavily parametrizable and well suited for embedded ...Introduction to Ibex · Ibex User Guide · Ibex Reference Guide · Ibex Developer Guide
  109. [109]
    An update on Ibex, our microcontroller-class CPU core - lowRISC
    Jun 10, 2019 · Say hello to Ibex: a small, 32-bit microcontroller-class RISC-V CPU core written in SystemVerilog. Reliable, unpretentious, getting the job done.
  110. [110]
    A demo system for Ibex including debug support and some peripherals
    Ibex Demo System is an example RISC-V SoC primarily targeting the Arty A7-35T FPGA board. It comprises the lowRISC Ibex core along with the following features:.
  111. [111]
    ARM vs RISC-V Cores | System level Comparison-Latency, Power
    Sep 5, 2024 · This article describes a performance and power comparison methodology using system-level IP between SiFive RISC-V u74 and ARM Cortex A53 processors.
  112. [112]
    A High-Performance Core Micro-Architecture Based on RISC-V ISA ...
    Dec 8, 2020 · This core could achieve a Dhrystone benchmark score of 1.71 DMIPS per MHz which is higher than ARM Cortex-M3 (1.50 DMIPS per MHz) and ARM Cortex ...
  113. [113]
    [PDF] Evaluating a RISC-V processor running Benchmarks using the ...
    Unlike to the processors based on the ARM or x86 architectures, the instruction set architecture(ISA) of the RISC-V is open-source. The developers do not need ...
  114. [114]
    [PDF] RISC-V Benchmarking for Onboard Sensor Processing
    Mar 30, 2021 · Instruction sets should be free: The case for risc-v. Technical report, University of California at Berkeley, 2014. http://www2.eecs.berkeley ...Missing: origins | Show results with:origins
  115. [115]
    Seagate, Western Digital outline progress on RISC-V designs
    Dec 10, 2020 · Western Digital announced in 2017 that it planned to transition more than one billon cores per year to RISC-V to drive momentum of open source ...
  116. [116]
    Can China's RISC-V Revolution Reshape the Global Chip Industry?
    Mar 12, 2025 · In 2025, China issued official guidelines to encourage widespread RISC-V adoption across industries, making it the first government to formally ...<|separator|>
  117. [117]
    China Unyielding Ascent in RISC-V - EE Times
    Aug 5, 2025 · Recent China RISC-V summit showed the rapidly advancing domestic RISC-V ecosystem, driven by an imperative for technological independence.Missing: devices | Show results with:devices
  118. [118]
    Leveraging Formal for Exhaustive RISC-V Verification
    Aug 28, 2025 · Formal verification tests behavior using assertions, unlike simulation, and can exhaustively test the state-space, capturing bugs missed by ...Missing: modular trade- overhead
  119. [119]
    Exploring Modular Redundancy Approaches in RISC-V Multi-core ...
    Jan 22, 2025 · In this design, the cores in lockstep cannot be decoupled, creating a strong trade-off between area overhead and performance. In addition ...
  120. [120]
    RISC-V GCC is upstreamed! - SiFive
    The RISC-V GCC port began shortly after the ISA design project kicked off in 2010, and so it is quite gratifying to see this seven-year cross-institutional ...
  121. [121]
    Older GCC versions available - HiFive Unleashed - SiFive Forums
    Nov 22, 2020 · gcc-7.3.1 is the very first stable FSF GCC release for RISC-V. You can't use anything older than that. I do know that gcc- ...Missing: history | Show results with:history
  122. [122]
    GNU toolchain for RISC-V, including GCC - GitHub
    This is the RISC-V C and C++ cross-compiler. It supports two build modes: a generic ELF/Newlib toolchain and a more sophisticated Linux-ELF/glibc toolchain.
  123. [123]
    Moving RISC-V LLVM forwards - lowRISC
    Sep 27, 2017 · This blog post provides an update on the rapid progress we've been making towards that goal, outlines next steps and upcoming events.Missing: timeline | Show results with:timeline<|separator|>
  124. [124]
    User Guide for RISC-V Target — LLVM 22.0.0git documentation
    The RISC-V target provides code generation for processors implementing supported variations of the RISC-V specification. It lives in the llvm/lib/Target/RISCV ...Missing: timeline | Show results with:timeline
  125. [125]
    Binutils - Home - RISC-V Tech Hub
    Binutils. The GNU Binutils are a collection of binary tools (GNU linker, GNU assembler, many other excellent tools such as gprof). Binutils Homepage · Source ...
  126. [126]
    riscvarchive/riscv-binutils-gdb: RISC-V backports for binutils ... - GitHub
    Aug 17, 2022 · This directory contains various GNU compilers, assemblers, linkers, debuggers, etc., plus their support routines, definitions, and documentation.
  127. [127]
    GNU Binutils 2.45 Expands RISC-V Support - Linuxiac
    Jul 29, 2025 · GNU Binutils 2.45 adds SFrame V2 support for s390x and major enhancements for RISC-V, Armv9.6, LoongArch, and x86.
  128. [128]
    Prebuilt RISC-V GCC toolchains for x64 Linux. - GitHub
    Feb 22, 2025 · The toolchains were built according to the instructions of the official RISC-V GNU Compiler Toolchain repository using Ubuntu on a 64-bit x86 machine.
  129. [129]
    riscv-software-src/riscv-isa-sim: Spike, a RISC-V ISA Simulator
    Spike, the RISC-V ISA Simulator, implements a functional model of one or more RISC-V harts. It is named after the golden spike used to celebrate the completion ...
  130. [130]
    RISC-V System emulator — QEMU documentation
    QEMU can emulate both 32-bit and 64-bit RISC-V CPUs. Use the qemu-system-riscv64 executable to simulate a 64-bit RISC-V machine.‘virt’ Generic Virtual Platform... · Microblaze-V generic board...
  131. [131]
    RISC-V Compiler Performance Part 1: Code Size Comparisons
    May 26, 2016 · Comparing Code Size Between RISC-V and ARM. To compare RISC-V with some similar architectures, the benchmarks were also built for ARMv7m ...
  132. [132]
    Boosting RISC-V Application Performance: An 8-Month LLVM Journey
    May 5, 2025 · Performance was boosted by a new scheduling model, improved vectorization, and IPRA, resulting in up to 15% execution time reduction.Missing: timeline | Show results with:timeline
  133. [133]
    Full-Fat, Kernel-Ready: Why RISC-V Linux Needs Everyone Upstream
    Jul 28, 2025 · RISC-V has been supported in the upstream Linux kernel since 2017. But without a common hardware baseline, ensuring compatibility across builds ...
  134. [134]
    Linux 6.18 RISC-V Default Kernel Builds To Support Front Panel ...
    Oct 8, 2025 · This second round of RISC-V updates for Linux 6.18 adds support for the RISC-V-standardized RPMI interface as a platform communication interface ...
  135. [135]
    riscv - FreeBSD Wiki
    Aug 9, 2025 · FreeBSD's support for the RISC-V architecture is currently classified as Tier-2, beginning with FreeBSD 13.0. It was present with Tier-3 support ...Address Space · Supported Platforms · Mailing List · QEMU Emulator
  136. [136]
    Zephyr support status on RISC-V processors
    This page describes current state of Zephyr for RISC-V processors. Currently, there's support for some boards, as well as Qemu support and support for some FPGA ...
  137. [137]
    Getting Started with Zephyr RTOS v1.13.0 On RISC-V - SiFive
    Oct 30, 2018 · Zephyr supports RV32IMAC cores like the SiFive E31, as well as a number of peripherals built in to the FE310-G000 processor on the SiFive HiFive ...
  138. [138]
    RISC-V — Das U-Boot unknown version documentation
    This document outlines the U-Boot boot process for the RISC-V architecture. RISC-V is an open-source instruction set architecture (ISA) based on the principles ...
  139. [139]
    riscv-software-src/opensbi: RISC-V Open Source ... - GitHub
    The goal of the OpenSBI project is to provide an open-source reference implementation of the RISC-V SBI specifications for platform-specific firmwares ...
  140. [140]
    Why RISC-V Linux needs everyone upstream - OSnews
    Jul 30, 2025 · Having to deal with out-of-tree patches and drivers and specific builds for specific boards is a nightmare – look at Linux on ARM – and hinders ...Missing: challenges | Show results with:challenges
  141. [141]
    Update on the Development of the RISC-V Software Toolchain
    Aug 21, 2018 · GCC: Support for GCC, the popular compiler for GNU/Linux systems, is fully upstream. A robust compiler underpins almost all software development ...
  142. [142]
    Which Linux distro is stable and fully ported to RISC-V - Reddit
    Oct 6, 2023 · Even glibc doesn't have support for the RISC-V hwprobe yet.
  143. [143]
    Musl libc 1.2.5 Released With RISC-V 32-bit & LoongArch 64-bit Ports
    Mar 1, 2024 · Plus there are two new ports: loongarch64 for LoongArch 64-bit and riscv32 for RISC-V 32-bit architectures. Musl libc 1.2.5 also has changes to ...
  144. [144]
    Google Removes RISC-V Support From Android - Hackaday
    May 3, 2024 · Google is not ready to provide a single supported Android Generic Kernel Image (GKI), but that 'Android will continue to support RISC-V'.
  145. [145]
    Andes Technology and Imagination Technologies Showcase ...
    Apr 24, 2025 · Andes Technology and Imagination Technologies Showcase Android 15 on High-Performance RISC-V Based Platform · San Jose, CA – April 23, 2025 ...
  146. [146]
    Auto-Vectorization in LLVM — LLVM 22.0.0git documentation
    LLVM has two vectorizers: The Loop Vectorizer, which operates on Loops, and the SLP Vectorizer. These vectorizers focus on different optimization opportunities ...
  147. [147]
    RISC-V Auto-Vectorization Support For The GCC Compiler Started
    Mar 3, 2023 · LLVM has better diagnostics, is faster, often delivers better code, and has been ground zero for the V implementation all along.What's new for RISC-V in LLVM 16 : r/RISCV - RedditWhen LLVM scalable vector meets RISC-V: RVVBitsPerBlock - RedditMore results from www.reddit.com
  148. [148]
  149. [149]
    The rise of RISC-V: Is it a real threat to ARM and x86?
    Jun 20, 2025 · Despite the promise, RISC-V will not replace ARM or x86 overnight. The largest obstacles are performance parity and software support. Here are ...
  150. [150]
    RISC-V vs ARM: A Comprehensive Comparison of Processor ...
    Feb 28, 2025 · RISC-V is open-source, customizable, and has no licensing fees, while ARM is proprietary, has a mature ecosystem, and has licensing fees.
  151. [151]
    [News] China's Push for Chip Independence: Can RISC-V ...
    XuanTie RISC-V CPUs, developed by Alibaba DAMO Academy, are now China's largest and most influential RISC-V IP supplier based on shipment volume ...
  152. [152]
    RISC-V vs ARM: Choosing the right architecture for your monitoring ...
    Sep 1, 2025 · RISC-V is open-source, modular, and gaining momentum. ARM is energy-efficient, proprietary, and has a large market share, especially in mobile.
  153. [153]
    [PDF] RISC-V Market Report: Application Forecasts in a Heterogeneous ...
    It focuses on RISC-V SoC market penetration and corresponding IP products that support this growth. The complete report is 225 pages in length with 107 tables ...<|control11|><|separator|>
  154. [154]
    Andes Technology: Homepage
    2025-10-10. Andes Technology Hosts First-Ever RISC-V CON in Munich, Powering Next-Gen AI and Automotive Solutions. 2025-10-09. Andes Technology Expands ...All Events · RISC-V Vector · RISC-V Baseline · RISC-V Functional Safaty
  155. [155]
    New Next-Gen Ultra-Low Power RISC-V MCU with AI Acceleration
    Oct 15, 2025 · UP201/UP301 family MCU merges dual-core RISC-V and AI acceleration for breakthrough efficiency, intelligence, and battery life—live demos at ...Missing: Andes | Show results with:Andes
  156. [156]
    IoT/Embedded - RISC-V International
    RISC-V permits right-sized code-efficient processors, reducing overspend and simplifying performance vs power consumption trade-off decisions. No Fee ISA.Missing: Andes | Show results with:Andes
  157. [157]
    Flexible Vector Integration in Embedded RISC-V SoCs for End-to ...
    Jul 19, 2025 · This work takes advantage of the ratification of the RISC-V Vector 1.0 extension and demonstrates its potential as a flexible target within a ...
  158. [158]
    SiFive Accelerates RISC-V Vector Integration in XNNPACK for ...
    Dec 9, 2024 · To enhance AI inference performance on RISC-V, SiFive has contributed several RVV-optimized floating-point microkernels to XNNPACK.
  159. [159]
    Andes RISC-V Superscalar Multicore A(X)45MP and Vector ...
    Dec 2, 2021 · The upgraded 32-bit A45MP and 64-bit AX45MP deliver up to 3x memory bandwidth while raising the floating-point performance by over 20% as measured by Whetstone ...
  160. [160]
    AI runs on vectors - Semidynamics
    Jul 10, 2025 · We implement RISC-V Vector Extension 1.0, which enables parallelism for AI, scientific computing, and data processing. And unlike off-the-shelf ...
  161. [161]
    Is RISC-V ready for High Performance Computing? An evaluation of ...
    Aug 19, 2025 · Furthermore, there is 2MB of L2 cache shared between each cluster of four cores (sg2044-config, 2025) , which is double that of the SG2042, and ...
  162. [162]
    What's the Current Performance Level of the Most Powerful RISC-V ...
    May 10, 2024 · The RISC-V U74 core had roughly 1.8 times lower performance per clock compared to the ARM Cortex-A53. While I understand that RISC-V is known for its superior ...ARM versus RISC-V : r/RISCV - RedditWhat needs to happen for RISC-V to take over ARM? : r/RISCVMore results from www.reddit.com
  163. [163]
    Evaluating ARM and RISC-V Architectures for High-Performance ...
    This paper thoroughly assesses the ARM and RISC-V architectures in the context of high-performance computing (HPC). It includes an analysis of Docker and ...2. Related Work · 6. Arm And Risc-V... · 6.3. Hpc PerformanceMissing: IPC | Show results with:IPC
  164. [164]
    Companies Rally RISC-V Support for AI and HPC Applications
    Jun 20, 2024 · Several companies have announced partnerships to boost and integrate their RISC-V solutions for AI and high-performance computing (HPC) workloads.Missing: sectoral efficacy
  165. [165]
    How Alibaba's new RISC-V chip hits the mark for China's tech self ...
    Mar 10, 2025 · The server-grade XuanTie C930 processor launched by Alibaba Group Holding is injecting fresh momentum into China's semiconductor industry.
  166. [166]
    Examining China's Grand Strategy For RISC-V - Jamestown
    Dec 15, 2023 · China is capitalizing on these: In 2022, over 50 percent of the 10 billion RISC-V cores manufactured in the world came from China (China Daily, ...
  167. [167]
    China to publish policy to boost RISC-V chip use nationwide ...
    Mar 4, 2025 · China plans to issue guidance to encourage the use of open-source RISC-V chips nationwide for the first time, two sources briefed on the ...
  168. [168]
    An Urgent Call to Close the Loopholes on Chips and China - FDD
    Jun 30, 2025 · By leveraging RISC-V, Chinese companies are using open source software derived in the West to design their own processors for AI, cloud ...
  169. [169]
    RISC-V: What it is and Why it Matters
    Jan 22, 2024 · RISC-V International moved its headquarters from the United States to Switzerland in March 2020 in part to insulate itself from the creeping ...Missing: relocation | Show results with:relocation
  170. [170]
    More Than Tariffs Needed To Reinforce US's Technological Defenses
    Jun 26, 2025 · One of the most glaring is the unchecked proliferation of RISC-V, an open-source chip design architecture governed by a Swiss-based foundation ...
  171. [171]
    Will RISC-V tech intensify the US-China tech rivalry? - TechHQ
    A growing group of US lawmakers are calling on the Biden administration to impose export control restrictions around RISC-V.Missing: devices | Show results with:devices
  172. [172]
    The Limits of Chip Export Controls in Meeting the China Challenge
    Apr 14, 2025 · In March 2025, the Trump administration imposed additional chip export restrictions ... ” The C930 reportedly “meets the requirement for RISC-V ...
  173. [173]
  174. [174]
    Linus Torvalds says RISC-V will make the same mistakes as Arm ...
    Jul 17, 2024 · Much as I like RVV, fixed-width use-cases still exist and are pretty important for CPU SIMD; scalable vectors work well for very-many ...Missing: outperforms | Show results with:outperforms
  175. [175]
    An ex-ARM engineer critiques RISC-V - Hacker News
    Nov 1, 2020 · RISC-V lacks condition codes, uses more instructions (3-5) than needed, and has reduced instruction density, increasing memory usage.
  176. [176]
    “Risc V greatly underperforms” | Hacker News
    Dec 2, 2021 · The RISC-V ISA has only 1 good feature for code size, the combined compare-and-branch instructions. Because there typically is 1 branch for ...
  177. [177]
    The Problem with RISC-V V Mask Bits - by Casey Muratori
    Jul 11, 2022 · So the RISC-V V extension seems a poor place to make a tradeoff that penalizes high-performance designs. Furthermore, the design seems very un- ...
  178. [178]
    ARM vs. RISC-V Vector Extensions - Hacker News
    May 6, 2021 · SVE was designed mindful of how CPUs currently operate, whereas RISC-V vector extensions were designed with fondness for how CPUs operated decades ago.Missing: outdated | Show results with:outdated
  179. [179]
    RISC-V Vector Instructions vs ARM and x86 SIMD - Medium
    Dec 31, 2020 · RISC-V is bringing Cray style vector processing back, even insisting it should replace SIMD (Single Instruction Multiple Data).Missing: outdated | Show results with:outdated
  180. [180]
    RISC-V Architecture on MCUs: Strengths, Weaknesses, and the ...
    Apr 5, 2025 · The freedom to implement custom instructions can lead to fragmentation within the RISC-V ecosystem, potentially causing compatibility problems ...
  181. [181]
    NVIDIA Embraces RISC-V: CUDA Goes Open, Just Not Where You ...
    Jul 23, 2025 · CUDA drivers can now run natively on RISC-V CPUs, enabling the full AI stack, including the operating system, application logic, and GPU ...Missing: gaps | Show results with:gaps
  182. [182]
    RISC-V basics: The truth about custom extensions - EDN
    Aug 12, 2025 · Open ecosystems like RISC-V empower silicon developers to craft custom solutions that deliver both innovation and design efficiency, unlocking ...
  183. [183]
    The Future of RISC-V in Embedded Systems - RunTime Recruitment
    Jun 18, 2025 · Challenges Facing RISC-V Adoption. Despite its promise, RISC-V faces several hurdles before becoming mainstream in embedded systems.<|separator|>
  184. [184]
    RISC-V takes steps to minimize fragmentation - The Register
    Apr 1, 2022 · "Having many custom unratified extensions in the market will hinder the wide adoption of RISC-V," Shreyas Derashri, Imagination's vice ...Missing: risks | Show results with:risks
  185. [185]
    The Uncertainties Of RISC-V Compliance
    Jun 22, 2023 · “There is an extension in the RISC-V standard that allows vendors to create their own custom instructions,” Moore said. “Fragmentation is ...<|separator|>
  186. [186]
    [PDF] Real-time Thread Isolation and Trusted Execution on Embedded ...
    In 2019, physical memory protection. (PMP) instructions were ratified in the RISC-V ISA, which offers enhancements similar to an Arm MPU, but does not enable.
  187. [187]
    A Survey of RISC-V Secure Enclaves and Trusted Execution ... - MDPI
    ARM TrustZone [9], although efficient for embedded systems, is constrained by a coarse-grained two-world model that lacks process-level isolation within the ...
  188. [188]
    Software Mitigation of RISC-V Spectre Attacks - ACM Digital Library
    Nov 23, 2023 · In this paper we focus on the RISC-V cores where speculation is enabled and, as we show, where Spectre attacks are as effective as on x86. Even ...
  189. [189]
    [PDF] Replicating and Mitigating Spectre Attacks on an Open Source RISC ...
    Jun 22, 2019 · DAWG: A Defense Against Cache Timing Attacks in Speculative Execution Processors. ... • Working demonstrations of Spectre attacks on a RISC-V core.
  190. [190]
    BlessedRebuS/RISCV-Attacks: Security analysis for the RISC-V ISA
    As expected this type of attack is mitigated in this processor due to the limited speculation. In general, more optimized cores are more vulnerable. This attack ...
  191. [191]
    tech-unprivileged@lists.riscv.org | Messages
    Oct 11, 2025 · The OpaVote "Unprivileged IC Ratification Plan Approval Vote for Integer Vector Absolute Difference Extension" concludes on Tuesday, September 2, 2025. As of ...sig-documentation@lists.riscv.org | MessagesRatification plan review for Zvfbfa/Zvfofp8min (vector BF16/OFP8 ...More results from lists.riscv.org
  192. [192]
    RISC-V Specification Status - Atlassian
    Oct 8, 2025 · The development of RISC-V Specifications is now governed by a new policy, effective as of January 2025. The process of developing a new RISC-V ...Missing: delays | Show results with:delays<|separator|>
  193. [193]
    [2206.04507] Software Mitigation of RISC-V Spectre Attacks - arXiv
    Jun 9, 2022 · In this paper we focus on the RISC-V cores where speculation is enabled and, as we show, where Spectre attacks are as effective as on x86.Missing: execution | Show results with:execution
  194. [194]
    6th national RISC-V student contest 2025-2026
    Official announcement detailing the contest structure, participant teams, and challenges for modifying RISC-V cores.
  195. [195]
    Impact of the four French RISC-V Contests on Education and Research
    Academic paper analyzing the educational impact, participation from universities, and outcomes of the contests including core modifications for algorithms like FFT and MNIST.