Fact-checked by Grok 2 weeks ago

Extreme ultraviolet lithography

Extreme ultraviolet lithography (EUVL) is a next-generation technology critical for fabricating advanced integrated circuits, utilizing at a of 13.5 nanometers to features on wafers with resolutions below 5 nanometers. This shorter , approximately 14 times smaller than that of deep ultraviolet lithography, facilitates higher densities essential for sustaining by enabling sub-3-nanometer process nodes in and memory devices. EUVL systems, developed and exclusively manufactured by , produce EUV light through laser-induced plasma from tin droplets and rely on multilayer dielectric mirrors for , as conventional refractive lenses absorb EUV . Despite overcoming significant hurdles such as source power scaling, vacuum operation, and stochastic defect mitigation, EUVL has transitioned to high-volume production, underpinning leading-edge manufacturing by companies including , , and .

Fundamentals

Definition and Principles of Operation

Extreme ultraviolet lithography (EUVL) is a process that employs radiation at a of 13.5 to delineate nanoscale patterns on wafers, enabling feature resolutions below 7 critical for advanced integrated circuits. This , generated via laser-produced sources, provides superior resolution compared to deep (DUV) systems operating at 193 by reducing limits in accordance with the Rayleigh criterion, where resolution scales inversely with . EUVL systems project a demagnified image of a reflective mask onto a photoresist-coated wafer, facilitating single-exposure patterning of complex structures previously requiring multiple DUV exposures. The operational principles rely on an all-reflective optical architecture due to the strong absorption of EUV light by conventional lens materials, necessitating multilayer dielectric mirrors with peak reflectivity near 70% at 13.5 nm for each surface. EUV photons are produced by ablating tin microdroplets—ejected at rates exceeding 50,000 per second—with a high-power pulsed CO2 , creating a that emits in-band through transitions in highly ionized tin. This illumination strikes a binary reflective mask, comprising a with a periodic Mo/Si multilayer stack coated by an absorber layer patterned to define the circuit geometry; unabsorbed light reflects the design at near-normal incidence. Projection , consisting of 10-12 aspheric mirrors in a catadioptric or reflective configuration, reduce the mask image by a factor of 4 while maintaining a up to 0.33 (or 0.55 in high-NA variants), focusing the EUV beam onto the scan field of approximately 26 mm by 33 mm. Exposure occurs as EUV absorption in the resist generates photo- and , initiating acid generation or direct bond-breaking in chemically amplified or metal-oxide resists, respectively, to modulate for subsequent development. System efficiency is constrained by cumulative reflectivity losses across multiple reflections, yielding only 1-2% of source power at the , which demands source powers exceeding 250 W in-band for high-volume manufacturing throughput above 100 per hour.

Physical Advantages Over Prior Lithographies

Extreme ultraviolet (EUV) utilizes light at a of 13.5 , approximately 14 times shorter than the 193 employed in deep ultraviolet (DUV) systems. This shorter fundamentally enhances by reducing the limit of the optical system, as governed by the Rayleigh criterion, which states that the minimum resolvable feature size R = k_1 \lambda / \mathrm{NA}, where \lambda is the , NA is the numerical , and k_1 is a process-dependent factor typically between 0.25 and 0.9. In practice, EUV's wavelength advantage allows for half-pitch resolutions below 20 nm in single-exposure patterning with NA values around 0.33, whereas DUV systems, even with high-NA (up to 1.35), require aggressive k_1 reduction or steps to approach similar scales, increasing susceptibility to overlay errors and line-edge roughness. The wavelength reduction shifts reliance from NA escalation—which faces material and aberration limits in transmissive —to photonic scaling, enabling denser packing without proportional increases in optical complexity. Additionally, the higher in EUV (approximately 92 eV per photon at 13.5 nm) facilitates more efficient absorption in thin layers, promoting sharper aerial image contrasts and reduced proximity effects compared to DUV's lower-energy photons (6.4 eV), which scatter more readily in thicker resists. This contributes to improved pattern fidelity for high-aspect-ratio features, mitigating issues like artifacts prevalent in longer-wavelength systems.

Historical Development

Early Research and Proof-of-Concept (1980s–2000s)

Research into (EUV) originated in the mid-1980s, driven by the need to extend optical beyond the resolution limits of ultraviolet wavelengths as feature sizes approached sub-100 nm. In , Hiroo Kinoshita at (NTT) proposed the concept of using soft X-rays around 10-14 nm for projection , leveraging multilayer reflective to overcome absorption in transmissive materials. Kinoshita demonstrated the first EUV projection images in 1986 during a Japan Society of meeting, validating the feasibility of imaging with multilayer mirrors developed from earlier Soviet research on periodic structures. Parallel efforts emerged in the United States through the Department of Energy's national laboratories, including (LLNL), , and , focusing on EUV as a successor to challenges. By the early , these labs advanced key components such as high-reflectivity Mo/Si multilayer mirrors achieving over 65% reflectance at 13.5 nm and initial laser-produced plasma sources for EUV generation. Sandia demonstrated the first multi-layer overlay patterning with EUV in 1996 using an experimental tool, marking an early proof-of-concept for aligned exposures essential for device fabrication. The EUV Engineering Test Stand (ETS), a collaborative prototype assembled at LLNL by 2000, integrated a scanning system with reflective , discharge-produced source, and demo masks to test full-field imaging. In January 2001, the ETS produced its first lithographic images on wafers, followed by demonstrations of 100 nm dense features in both static and scanned modes by mid-2001, confirming and throughput potential for 80 nm nodes. These ETS milestones, supported by industry consortia like EUV LLC involving and others, established EUV's viability despite challenges in source power below 10 W and optic stability, paving the way for alpha tools in the mid-2000s.

Commercialization and Engineering Breakthroughs (2010s)

The commercialization of extreme ultraviolet (EUV) lithography accelerated in the early 2010s with shipping its first pre-production TWINSCAN NXE:3100 system to in 2010, marking the transition from research prototypes to tools enabling chipmakers to develop processes for sub-10 nm nodes. These initial systems, priced around $120 million each, operated at low power levels of several tens of watts at the intermediate focus, limiting throughput to developmental use rather than high-volume . By 2013, introduced the TWINSCAN NXE:3300 as the first production-worthy system, incorporating improvements in source stability and optics for better overlay and resolution. A pivotal engineering breakthrough was the advancement of laser-produced plasma (LPP) light sources using tin droplets, facilitated by ASML's 2013 acquisition of Cymer for $2.5 billion, which integrated high-power CO2 lasers to generate EUV emission at 13.5 nm. Source power progressed from under 10 W in early prototypes to exceeding 100 W by the mid-2010s, addressing the core bottleneck of insufficient photon flux for viable wafer throughput, though full 250 W targets for high-volume production remained elusive until late in the decade. Concurrently, collaborations with refined multilayer reflective mirrors, achieving higher reflectivity and reduced aberrations essential for imaging fidelity. In 2012, major foundries—Intel committing $4 billion, and each $1 billion—joined ASML's Customer Co-Investment Program, funding R&D to scale EUV for 7 nm and below, underscoring industry consensus on EUV's necessity despite risks. Mask protection advanced with the 2016 introduction of first-generation EUV pellicles, thin membranes shielding reticles from particles while transmitting over 70% of EUV light, mitigating in vacuum environments. These developments, amid ASML's monopoly after competitors like Nikon exited, positioned EUV for initial production insertions by and toward 2019, though early tools prioritized resolution over productivity.

Widespread Adoption and Milestones (2020–2025)

In 2020, Taiwan Semiconductor Manufacturing Company (TSMC) achieved the first high-volume manufacturing (HVM) of 5 nm FinFET chips utilizing extreme ultraviolet (EUV) lithography, marking the transition from pilot lines to commercial production for advanced nodes. This milestone enabled denser transistor integration for applications in smartphones and high-performance computing, with TSMC reporting full EUV integration across multiple layers of the 5 nm process. Concurrently, Samsung Electronics ramped up EUV deployment in its 7 nm low-power plus (7LPP) process, having initiated production earlier but achieving broader adoption by 2020 for logic and memory devices. ASML, the sole supplier of EUV systems, shipped 35 units in 2020, supporting the initial HVM wave primarily to and . By 2022, achieved first EUV light at its Fab 34 , paving the way for HVM of its Intel 4 node (equivalent to 7 nm) in 2023, which incorporated EUV for critical layers to enhance yield and performance. This adoption by addressed prior delays, aligning it with competitors for sub-7 nm scaling. further advanced to 3 nm HVM in 2022, expanding EUV layers to over 20 per wafer, while integrated EUV into its 5 nm and 3 nm processes by 2023. The period saw ASML scaling production, targeting 75 EUV shipments by 2025 to meet demand from and chips. High-numerical-aperture (High-NA) EUV emerged as the next frontier, with ASML delivering initial modules to in December 2023 and the first full EXE:5200B system in 2025, aimed at enabling sub-2 nm nodes starting with 's 14A process development. led High-NA adoption for manufacturing readiness by 2025-2026, while and evaluated delayed integration due to costs exceeding $360 million per tool. By mid-2025, EUV underpinned over half of advanced logic production globally, with ASML forecasting 30% EUV revenue growth amid sustained demand.

Core Technical Components

EUV Light Sources

The primary method for generating (EUV) light at 13.5 nm for employs laser-produced (LPP) sources, which convert infrared energy into emission through the interaction with tin targets. In this process, tin droplets, typically 20–30 micrometers in diameter, are generated at repetition rates exceeding 50 kHz and directed into a . A pre-pulse first deforms the droplet into a or sheet to optimize , followed by a high-power 2 main pulse (wavelength 10.6 μm, peak power 10–20 kW per pulse) that vaporizes and ionizes the tin, producing a hot with temperatures around 30–50 eV. This emits EUV primarily from transitions in Sn10+ to Sn14+ ions, with the in-band power (2% centered at 13.5 nm) collected via multilayer mirrors coated for peak reflectivity near 70%. Commercial LPP sources, developed collaboratively by , Cymer, and , achieve conversion efficiencies of 4–6% from drive input to in-band EUV output at the intermediate focus, where light is delivered to the scanner at powers of 250–350 W for high-volume manufacturing as of 2023. is critical, employing high-velocity gas flows (up to 100 m/s) to neutralize tin ions and to deflect charged particles, preventing damage to collection . By early 2025, validated source powers exceeded 600 W at intermediate focus, supporting increased wafer throughput and enabling high-numerical-aperture (high-NA) systems requiring over 500 W for viable productivity. Roadmaps target beyond 1000 W by the late 2020s to accommodate future nodes, with stability improvements reducing dose variations to under 0.3% over extended operation. Alternative approaches, such as discharge-produced (DPP) using electrical discharges in tin vapor, were explored in the early 2000s but yielded lower powers (under 200 W) and higher erosion, rendering them unsuitable for production-scale EUV . Emerging concepts like free-electron lasers or high-harmonic generation offer coherent EUV but face scalability challenges for the multi-kilowatt drive energies and repetition rates needed for industrial throughput exceeding 200 wafers per hour. LPP remains the established technology due to its balance of power scalability, tin's favorable matching Mo/Si multilayer , and integration with existing scanner architectures.

Reflective Optics and Masks

Extreme ultraviolet lithography employs all-reflective due to the strong of EUV ( 13.5 nm) by virtually all transmissive materials, necessitating mirrors in environments instead of refractive lenses. Projection systems typically feature six to ten multilayer-coated mirrors arranged in an aspheric or freeform configuration to minimize aberrations and achieve numerical apertures up to 0.33 (with high-NA variants targeting 0.55). The mirrors consist of periodic multilayer stacks, predominantly / (Mo/Si) bilayers with approximately 40-50 alternating pairs, optimized for peak reflectivity of 65-75% at 13.5 nm near-normal incidence. Capping layers such as () protect against oxidation and enhance durability, while barrier layers prevent interdiffusion during deposition and operation. Reflectivity degrades cumulatively across mirrors (e.g., ~1-2% loss per surface from imperfections), demanding surface figure errors below 0.1 nm and to sub-atomic (<0.2 nm roughness). Challenges include thermal distortion from absorbed power (mitigated by active cooling), contamination from plasma-generated debris (addressed via grazing-incidence collectors and hydrogen cleaning), and bandwidth limitations inducing chromatic aberrations. EUV masks are reflective reticles comprising a low-thermal-expansion substrate (e.g., fused silica or LTEM glass) coated with a Mo/Si multilayer reflector (~40 bilayers for ~70% reflectivity), overlaid by a patterned absorber stack that blocks EUV light in non-patterned regions. Standard absorbers use tantalum-based compounds like (50-70 nm thick), selected for high EUV extinction, chemical stability, and etch selectivity, though alternatives such as Ru/Ta bilayers or Pt-Mo alloys are explored to reduce phase shifts and shadowing in high-NA oblique illumination. The absorber's refractive index (n close to 1) introduces non-local 3D effects, including forward scattering and phase edges that degrade aerial image contrast, particularly for features below 20 nm half-pitch. Mask fabrication involves e-beam writing on the absorber, followed by dry etching and defect inspection, with pellicles (thin polysilicon membranes) added since 2019 to shield against particles without significantly attenuating EUV flux (~1-2% transmission loss). Key limitations include pellicle-induced shadowing in anamorphic high-NA systems and outgassing risks, necessitating hydrogen purging; moreover, mask blank defects (e.g., multilayer pits) remain a yield bottleneck, with progressive improvements targeting <0.01 defects/cm² at 16 nm.

Photoresists and Exposure Physics

In extreme ultraviolet lithography (EUVL), photoresists must exhibit high sensitivity to the 13.5 nm wavelength EUV radiation while maintaining resolution below 20 nm half-pitch, necessitating materials with absorption coefficients around 10-20 μm⁻¹ to ensure sufficient photon capture within thin films typically 20-50 nm thick. Chemically amplified resists (CARs), adapted from deep ultraviolet (DUV) processes, rely on acid generation from photoacid generators (PAGs) triggered by EUV exposure, followed by post-exposure bake to amplify deprotection reactions; however, EUV CARs suffer from lower quantum efficiency (around 1-10 acids per absorbed photon) due to the predominance of non-chemically productive energy dissipation pathways. Alternative non-CAR approaches, such as metal-organic resists incorporating tin or hafnium oxo-clusters, leverage metal-ligand coordination changes or inorganic crosslinking for higher etch selectivity and reduced line-edge roughness, achieving sensitivities as low as 5-10 mJ/cm² in laboratory settings. The exposure physics in EUV lithography fundamentally differs from longer wavelengths because each 92 eV EUV photon ionizes resist atoms, ejecting high-energy photoelectrons (typically 10-80 eV) that generate cascades of low-energy secondary electrons (<30 eV) through inelastic scattering, with the secondary electron range extending 1-5 nm in organic resists, contributing to intra-resist blur and proximity effects that limit critical dimensions. These secondary electrons, rather than direct photon absorption, drive the stochastic exposure process, where the mean free path of electrons (around 1 nm) results in non-uniform energy deposition, exacerbating line-edge roughness (LER) to 2-4 nm at 36 nm pitch lines under typical doses of 20-40 mJ/cm². Absorbed EUV dose primarily dissipates as heat (up to 70%) and secondary electron kinetic energy, with only a fraction (10-20%) yielding useful chemical changes, necessitating high-flux sources to mitigate photon shot noise, which scales as the square root of absorbed photons and becomes dominant below 100 photons per pixel for sub-10 nm features. Underlayer interactions further complicate exposure physics, as secondary electrons from the resist can penetrate into substrate stacks, generating additional backscattered electrons that spread exposure beyond the illuminated area by 5-10 nm, quantified through Monte Carlo simulations showing electron yields up to 3-5 secondaries per primary photoelectron. Resist outgassing during exposure, primarily volatile fragments from deprotection, poses contamination risks but is mitigated by hydrogen plasma cleaning, with dose-dependent outgassing rates measured at 10¹⁶-10¹⁷ molecules/cm² per mJ/cm² in CARs. Stochastic variations in photoelectron and secondary electron distributions introduce defect risks, such as bridging or necking in dense patterns, with simulations indicating a 20-30% increase in failure probability for vias at low doses due to Poisson statistics of photon arrival. Advances in resist design focus on minimizing electron blur via higher mass density or inorganic components, though trade-offs persist between sensitivity, resolution, and roughness metrics like LER and LWR (line-width roughness).

Key Operational Challenges

Power Output, Throughput, and Tool Uptime

The power output of EUV lithography tools, measured as the available EUV power at the intermediate focus (IF), has been a primary bottleneck limiting commercial viability, with production requirements evolving from approximately 100 W in early prototypes to stable operation exceeding 250 W in deployed systems by the mid-2020s. Laser-produced plasma sources, driven by high-power CO2 lasers targeting tin droplets, achieve dose-controlled powers up to 420 W in advanced configurations like the NXE:3600D, though field-deployed tools typically operate at 250-350 W to balance stability and collector mirror lifetime. This power level supports exposure doses of 30-40 mJ/cm² needed for sub-5 nm nodes, but fluctuations in plasma conversion efficiency and debris mitigation necessitate ongoing engineering to prevent output degradation over extended runs. Throughput, quantified as wafers per hour (WPH) for 300 mm wafers at a reference dose of 30 mJ/cm², directly correlates with source power, illumination uniformity, and stage speed, with ASML's NXE series progressing from 125 WPH in the NXE:3400B (at ~207 W power) to 160 WPH in the NXE:3600D and up to 220 WPH in the 2025-shipped NXE:3800E following field upgrades. Higher doses for denser patterns reduce effective throughput by 20-30%, while High-NA systems like the EXE:5000 target 185+ WPH despite narrower fields, prioritizing resolution over raw speed. These metrics assume optimal conditions, but real-world variability from resist sensitivity and overlay requirements often yields 10-15% lower output in fabs. Tool uptime, reflecting operational availability excluding scheduled maintenance, has historically lagged behind deep ultraviolet (DUV) tools due to the EUV source's complexity, including laser synchronization, tin debris handling, and vacuum chamber stability, with early NXE systems achieving 70-80% uptime in 2018 deployments. By 2025, improvements in predictive maintenance and component redundancy have pushed averages toward 85-90%, though unscheduled downtime from power instability or contamination events can still accumulate several hours weekly, constraining fab capacity for bottleneck EUV steps. This reliability gap underscores causal dependencies on source maturity, where even marginal uptime gains amplify annual wafer output by thousands per tool.

Imaging Aberrations and Optical Limitations

Aberrations in extreme ultraviolet (EUV) lithography projection optics stem from figure errors in the multilayer mirrors, leading to wavefront deviations that manifest as astigmatism, coma, and spherical aberration, thereby shrinking the depth of focus and exposure latitude. These low-order aberrations, such as Zernike Z4 (defocus) and Z5/Z6 (astigmatism), vary across the scanning slit, with residuals up to several nanometers in tools, exacerbating critical dimension (CD) non-uniformity for patterns at the 5 nm node. Flare, arising from EUV scattering off surface roughness on optics, masks, and chambers, contributes significantly to background light, with levels reaching 1-2% in early tools and degrading contrast more severely than in longer-wavelength lithography due to the λ^{-2} scaling of scatter. Contamination on optics can elevate flare further, as observed in NXE:3100 systems. The reflective, non-telecentric optics necessitate oblique mask illumination at ~6 degrees, inducing shadowing from the ~70 nm thick absorber structures, which causes horizontal-vertical CD bias varying linearly across the slit—up to 5-10% for dense lines at low-NA systems. This effect intensifies at slit edges due to pupil rotation, where illumination angles shift azimuthally, altering effective resolution and requiring slit-specific optical proximity correction (OPC). Optical limitations include inherent chromatic dependence from the source's ~0.5 nm bandwidth, resulting in best-focus shifts of ~0.1-0.5 nm per 0.01 nm wavelength detuning, which couples with aberrations to broaden process variations in high-NA (0.55) systems targeting sub-20 nm pitches. High numerical apertures amplify sensitivity to these aberrations, necessitating advanced wavefront metrology like for correction.

Contamination, Defects, and Plasma Effects

Contamination in extreme ultraviolet (EUV) lithography exposure tools stems mainly from photoresist outgassing and hydrocarbon adsorption on multilayer optics. EUV photons incident on photoresists trigger the release of volatile organic compounds, which migrate in the vacuum environment and deposit as carbon films on Mo/Si mirrors, reducing reflectivity by approximately 0.25% per nanometer of growth. These deposits form through photon-induced cracking of adsorbed hydrocarbons, even at partial pressures below 10^{-6} Pa, exacerbating tool downtime as cleaning requires hydrogen plasma exposure that risks optic damage. Outgassing rates are quantified via witness plate methods, with acceptable thresholds set at less than 10^{15} molecules/cm² per wafer exposure to maintain optic lifetime beyond 30,000 hours. Particle contamination introduces printable defects on wafers and masks, particularly in the sub-10 nm regime where even 20 nm particles on EUV masks can cause critical dimension errors exceeding 5% in printed features. EUV blanks suffer from native amplitude defects like pits and particles, which scatter light and degrade pattern fidelity, necessitating defect-free multilayer deposition processes with detection sensitivities down to 15 nm. Pellicles, thin membranes protecting masks, accumulate contaminants during operation, shortening lifetimes to under 500 hours if particle flux exceeds mitigation via electrostatic repulsion or gas purging. Cleanroom-derived particulates, including fibers and flakes, further compromise yield, with studies showing defect densities rising from 0.1 to 10 per cm² in uncontrolled environments. Plasma effects in laser-produced plasma (LPP) EUV sources generate high-velocity tin debris, including ions accelerated to 10-50 keV, which sputter collector mirrors at rates up to 1 nm per billion pulses without mitigation. Neutral aerosols and clusters from tin droplet targets deposit metallic films, reducing source efficiency, while ion flux induces radiation damage via secondary electron emission. Mitigation strategies employ hydrogen buffer gas flows at 3-5 Pa to neutralize ions via charge exchange and magnetic fields to deflect charged debris, extending collector lifetimes to over 10^{10} pulses as demonstrated in ASML's NXE systems. Residual plasma-induced heating warps optics, contributing to wavefront errors of 0.5 mλ, though cryogenic cooling limits thermal gradients to below 1 K.

Enhancement Strategies

Computational and Patterning Optimizations

Computational lithography plays a pivotal role in EUV patterning by employing model-based techniques to predict and correct imaging distortions arising from EUV-specific phenomena such as oblique incidence shadowing and 3D mask effects. Source-mask optimization (SMO) integrates illumination source design with mask layout adjustments to enlarge process windows, achieving up to 20% improvements in exposure latitude for critical features at 28 nm nodes and below. In high-NA EUV systems with 0.55 NA, SMO evaluates mask tonality variations and sub-resolution assist features (SRAFs) to mitigate horizontal-vertical asymmetries, enhancing pattern fidelity across the exposure slit. Inverse lithography technology (ILT) advances patterning by generating curvilinear mask contours through pixel-level optimization, surpassing traditional Manhattan-based optical proximity correction (OPC) in resolving sub-20 nm features with reduced edge placement errors. ILT algorithms, often accelerated by GPU computing, incorporate EUV-specific models for secondary electron blur and photon shot noise, yielding masks with smoother contours that improve wafer yield by minimizing stochastic defects. For EUV full-chip applications, hybrid ILT-OPC flows balance computational runtime—typically reduced by 50% via adjoint methods—with pattern fidelity, enabling deployment at 3 nm nodes. Patterning optimizations extend to stochastic-aware corrections, where OPC models integrate resist variability and photon statistics to suppress hot spots, achieving experimental reductions in bridging defects by over two orders of magnitude in logic and SRAM structures. Assist features in EUV OPC equalize aerial image intensities between dense and isolated lines, with placement optimized via SMO to counteract defocus sensitivity at pitches as low as 36 nm. In anamorphic high-NA tools, co-optimization of pupil, mask, and wavefront further refines these, compensating for stitching errors and flare with sub-1 nm precision. These strategies collectively enable single-exposure patterning viability for features beyond 2 nm, though runtime constraints necessitate ongoing advances in compressive sensing and deep learning surrogates.

Integration with Multiple Patterning

In extreme ultraviolet (EUV) lithography, multiple patterning is integrated to extend resolution limits beyond single-exposure capabilities of 0.33 numerical aperture (NA) systems, particularly for high-density features such as vias and contacts at pitches under 20 nm half-pitch. This approach combines EUV exposures with techniques like self-aligned double patterning (SADP) or direct multi-exposure schemes, reducing the number of required masks compared to deep ultraviolet (DUV) alternatives while leveraging EUV's shorter 13.5 nm wavelength for finer base patterns. For instance, EUV multi-patterning partitions processes into lithography and etch steps optimized for mandrel trim and shrink, enabling aggressive scaling to sub-20 nm lines and spaces with improved critical dimension (CD) control. A primary application is triple patterning for via layers in logic nodes at or below 2 nm, where single EUV patterning becomes infeasible due to stochastic noise and resolution constraints, necessitating multiple masks for gate contacts and source-drain separations regardless of wavelength or NA upgrades. Intel has implemented EUV-based multi-patterning in its 14A (1.4 nm-class) process using 0.33 NA tools, achieving design rules and yields equivalent to high-NA alternatives through refined overlay and edge placement error (EPE) management, thereby hedging against high-NA deployment delays. TSMC similarly employs EUV multi-patterning for select layers in advanced nodes like A14, prioritizing cost efficiency over immediate high-NA adoption for features such as 18 nm pitch lines/spaces. Integration challenges stem from accumulated errors across steps, including overlay misalignment below 2 nm to avoid bridging or opens, amplified line edge roughness (LER), line width roughness (LWR), and CD uniformity variations that degrade pattern fidelity. Stochastic effects, such as photon shot noise, intensify in multi-exposure workflows unless mitigated by higher per-exposure doses, but overlay precision demands—enabled by EUV scanners' sub-nm capabilities—outweigh DUV-era complexities. Defectivity from mask selectivity and etch profile control further necessitates material optimizations, yet EUV's intrinsic resolution reduces overall patterning steps versus DUV quadruple patterning, supporting throughput in high-volume manufacturing.

High-NA Systems and Anamorphic Designs

High-NA EUV systems elevate the numerical aperture from 0.33 in prior generations to 0.55, enabling critical dimensions of 8 nm and supporting transistor densities up to 2.9 times higher than low-NA EUV for advanced nodes like 2 nm logic. This enhancement follows the Rayleigh criterion for resolution, where finer features demand higher NA given the fixed 13.5 nm wavelength, though it proportionally reduces depth of focus to roughly one-third of low-NA values due to the inverse NA-squared scaling. ASML's TWINSCAN EXE:5000 series represents the initial commercial implementation, incorporating larger, more complex reflective optics from ZEISS to manage increased aberrations and obscurations while maintaining EUV transmission. Central to these systems is the anamorphic projection design, which applies non-isomorphic demagnification—4× in the horizontal (slit) direction and 8× in the vertical (scanning) direction—to counteract intensified shadowing from the mask's oblique 6-degree illumination under higher chief ray angles. This asymmetry preserves standard 6-inch reticle compatibility while halving the vertical exposure field on the wafer to 26 mm × 16.5 mm from the conventional 26 mm × 33 mm, thereby limiting absorber edge effects and 3D mask diffraction without proportionally shrinking the horizontal field. The approach introduces central obscurations in the pupil for improved light collection but demands tailored source-mask optimization and computational models to equalize horizontal-vertical imaging fidelity. To offset the doubled exposure count per wafer from the reduced field, EXE systems feature accelerated stages—wafer at 8 g and reticle at 32 g—yielding throughputs exceeding 185 wafers per hour, with targets of 220 wafers per hour by late 2025. The first unit shipped to Intel in December 2023, with subsequent installations in 2024; high-volume production for logic and memory nodes is slated for 2025–2026, contingent on ecosystem maturation in resists, masks, and metrology. Key challenges encompass stitching precision across the narrower fields, demanding sub-1 nm overlay amid shallower focus; mask three-dimensionality amplified by the anamorphic asymmetry; and elevated stochastic noise in low-dose exposures, necessitating metal-oxide resists optimized for thin films under 20 nm. These systems, developed through , prioritize causal mitigation of optical limits over isomorphic simplicity, though their complexity elevates costs and integration risks compared to low-NA predecessors.

Fundamental Limits and Stochastic Effects

Photon Shot Noise and Electron Interactions

In extreme ultraviolet (EUV) lithography, photon shot noise arises from the Poisson-distributed absorption of discrete EUV photons (energy ≈92 eV at 13.5 nm wavelength) in the photoresist, leading to statistical fluctuations in the energy deposited per unit area. This stochastic variation is pronounced due to the low photon flux required for viable throughput, with typical doses of 20-50 mJ/cm² translating to roughly 100-300 photons per 20 nm² feature area, insufficient to average out fluctuations effectively. Consequently, photon shot noise contributes to line edge roughness (LER), local critical dimension (CD) nonuniformity, and stochastic defects such as bridging or necking in dense patterns. For instance, simulations for 22 nm half-pitch features predict an LER component of ≈1.7 nm from shot noise at a 10 mJ/cm² dose. The impact intensifies at smaller pitches and higher numerical apertures, where fewer photons illuminate finer features, amplifying relative variance and limiting single-exposure patterning below 20 nm. Mitigation strategies include increasing exposure dose to reduce relative noise (σ/μ ∝ 1/√N, where N is photon count), though this is constrained by source power and throughput demands; alternatively, resists with higher EUV absorption efficiency can localize energy deposition, minimizing variance propagation. Compounding photon shot noise, electron interactions occur as absorbed EUV photons ionize resist atoms, generating primary photoelectrons (≈80 eV kinetic energy) that cascade into 10-30 low-energy secondary electrons (1-50 eV) via inelastic scattering. These secondaries drive resist sensitization—primarily acid generation in chemically amplified resists (CARs)—but their diffusive range (typically 1-5 nm in organic resists) introduces blurring and proximity effects, correlating exposure in adjacent areas and exacerbating stochastic irregularities. Stochastic generation and transport of these electrons add Poisson noise atop photon fluctuations, with Monte Carlo simulations revealing that electron blur can account for up to 50% of total deprotection variance in thin films. Secondary electron interactions also induce substrate effects, where electrons backscattered from underlying layers penetrate the resist, causing unintended exposure outside the nominal footprint and contributing to sidewall roughness or pattern distortion. Recent analyses indicate that this electron noise dominates over pure photon shot noise in some CAR formulations, particularly for high-resolution features, as variable secondary yield and energy loss paths amplify local density variations into printable defects like hot spots. Overall, the interplay of photon and electron stochastics sets a fundamental limit on resolution, necessitating advanced resist designs with suppressed electron diffusion or metal-organic components to enhance secondary generation efficiency while curtailing range.

Resist and Process Variability Issues

Extreme ultraviolet (EUV) photoresists face inherent variability challenges due to stochastic effects from sparse photon absorption and secondary electron generation, which degrade pattern precision at sub-20 nm scales. Photon shot noise, driven by low EUV photon counts (fewer than in DUV despite higher energy per photon), directly contributes to line edge roughness (LER) and line width roughness (LWR), with measured LER values of 2.7–4.2 nm at 22 nm half-pitch exceeding targets by a factor of 2.9. Chemical stochastics in chemically amplified resists (CARs), including random acid generation and diffusion, further amplify LWR, limiting resolution to around 20–22 nm half-pitch since 2008. Non-CAR materials like metal oxide resists achieve finer 16 nm half-pitch resolution with corrected LER near 2.0 nm but require higher doses (∼70 mJ/cm²) and contend with interface-induced variability. Process variability exacerbates these material limitations, particularly in thin resist films (20–30 nm thick) needed for high aspect ratios, where thickness non-uniformity across wafers impacts critical dimension (CD) control and necessitates design-technology co-optimization (DTCO) for compensation. Secondary electrons from EUV absorption in underlying layers extend beyond the exposure area, causing blur and increased edge roughness independent of photon noise. Low resist EUV absorption (<20%) intensifies stochastic noise, with LWR targets below 4 nm required at 36 nm pitch to maintain yield, as higher values lead to defect-prone "fat-tailed" CD distributions. Efforts to mitigate process-induced CD uniformity issues include optimized spin coating, post-exposure baking, and development controls, which have demonstrated reductions in across-field CD variation for EUV patterns. However, out-of-band radiation (e.g., ∼10% at 193 nm) can disrupt resist response, introducing additional variability in CARs sensitive to such wavelengths. Stochastic hot spots, manifesting as bridging or necking defects, arise from these combined effects, with modeling showing yield impacts when LWR exceeds ∼4.8 nm.

Industry Economics and Adoption

Development Costs and Market Projections

The development of extreme ultraviolet (EUV) lithography has required massive research and development (R&D) investments, primarily led by , the sole commercial supplier of EUV systems. By 2014, ASML had committed approximately $2.8 billion to EUV R&D, augmented by $1.9 billion in funding from key customers including , , and secured in 2012 to accelerate progress toward production viability. These investments covered fundamental challenges such as light source generation via laser-produced plasma and multilayer mirror optics, with cumulative expenditures across the ecosystem likely exceeding $10 billion by the mid-2010s when initial shipments began. Ongoing advancements, including high-numerical-aperture (high-NA) systems, have further escalated costs, as ASML's decade-long effort to refine these machines reflects the engineering complexity of achieving sub-10 nm resolutions without viable alternatives. Per-unit costs for EUV tools underscore the economic barriers to adoption. Low-NA EUV systems, such as ASML's Twinscan NXE series, typically range from $150 million to $200 million each, while high-NA variants like the Twinscan EXE:5000 exceed $370 million to $400 million per unit, roughly double the price due to enhanced optics and throughput capabilities. Deploying EUV in fabrication facilities demands not only these tools but also specialized cleanrooms, power infrastructure, and resist materials, inflating total fab upgrade costs into the tens of billions for leading-edge nodes; for instance, TSMC's acquisition of high-NA systems for 1.4 nm development highlights per-tool pricing around $350 million amid broader capital expenditures. ASML's production capacity remains constrained, shipping fewer than 10 high-NA units annually initially, which sustains premium pricing tied to monopoly supply dynamics rather than commoditized competition. Market projections for EUV lithography reflect accelerating demand driven by advanced logic and memory scaling for AI and high-performance computing, though tempered by cost sensitivities and yield maturation. The global EUV market was valued at approximately $12.18 billion in 2024 and is forecasted to reach $22.69 billion by 2029, growing at a compound annual growth rate (CAGR) of 13.2%, per MarketsandMarkets analysis based on tool shipments and service revenues. Alternative estimates vary, with Grand View Research projecting expansion from $9.42 billion in 2023 to $26.43 billion by 2030, and Mordor Intelligence anticipating $23.71 billion in 2025 scaling to $37.32 billion by 2030 at a 9.49% CAGR, discrepancies attributable to differing assumptions on high-NA penetration and multipatterning extensions. ASML's revenue from EUV, which constitutes a growing share of its lithography sales (around €8 billion annually from €150 million systems), supports these trajectories, but projections hinge on sustained investments by foundries like TSMC and Samsung, which hesitate on high-NA due to unproven productivity gains amid $400 million+ unit economics. Long-term outlooks to 2030 emphasize EUV's indispensability for nodes below 3 nm, potentially amplifying market size if single-patterning thresholds advance, though stochastic limits and alternatives could cap growth if not offset by volume scaling.

Deployment by Major Semiconductor Firms

Taiwan Semiconductor Manufacturing Company (TSMC) initiated high-volume EUV deployment with its N7+ process node in 2019, operating approximately 10 EUV tools at the time. EUV integration expanded to metal layers in subsequent 5nm, 3nm, and 2nm nodes, enabling single-patterning for critical features and supporting AI-driven demand. By 2023, TSMC accounted for 56% of global EUV installations, reflecting its scale in advanced logic production. TSMC received its first high-NA EUV tool in September 2024 but deferred full adoption until the A14 process around 2028, prioritizing low-NA EUV for near-term scaling. Samsung Electronics commercialized EUV with its 7nm LPP process in 2018, marking the first foundry node to apply the technology across multiple layers, followed by mass production in 2019. EUV usage intensified in the 5nm node from 2020, reducing mask counts and enhancing pattern fidelity for mobile and high-performance applications. In February 2020, Samsung launched a dedicated EUV fab line to accelerate throughput. For sub-2nm scaling, Samsung plans High-NA EUV integration in its 2nm GAA process by 2026 and 1.4nm node in 2027, including DRAM applications, with initial tools arriving in 2025. Intel Corporation trailed competitors in EUV rollout, achieving high-volume manufacturing only with its Intel 4 node (equivalent to 7nm-class) in late 2023. Intel accelerated High-NA EUV adoption, installing the industry's first commercial system in April 2024 for process development on 18A (2nm-class) and 14A nodes. By February 2025, Intel had exposed 30,000 wafers on High-NA tools, demonstrating viability for transistor densities exceeding 100 million per mm². This positions Intel to challenge foundry rivals through earlier High-NA scaling, despite historical delays in EUV infrastructure. Among memory producers, SK Hynix and Micron have deployed EUV for DRAM and NAND scaling since 2021-2022, but logic foundries TSMC, Samsung, and Intel dominate EUV capacity expansions, projected to grow 30% in 2025 amid AI chip demand.

Supply Chain Dependencies and Geopolitical Constraints

The production of extreme ultraviolet (EUV) lithography systems relies heavily on , the Netherlands-based company that holds a monopoly as the sole commercial supplier of these machines essential for manufacturing advanced semiconductors at nodes below 7 nm. Each EUV system incorporates components from approximately 800 suppliers spanning over 60 countries, with ASML itself producing only about 15% of the roughly 100,000 parts required, creating a highly interdependent global network vulnerable to disruptions in any segment. Critical subsystems include precision optics from in Germany, which fabricates the multilayer mirrors essential for EUV light reflection, and laser-produced plasma (LPP) sources involving drive lasers from in Germany and tin droplet generators tied to U.S. firms like (acquired by ). This limited vendor base for specialized components, such as the high-purity tin used in LPP chambers and rare-earth materials in optics, exacerbates supply bottlenecks, with lead times for full systems often exceeding 12-18 months due to the complexity of integration and qualification. Geopolitical tensions amplify these dependencies, particularly through U.S.-led export controls that prohibit ASML from selling EUV systems to China, a policy initiated in 2019 and reinforced through subsequent rules under the Wassenaar Arrangement and bilateral agreements with the Netherlands and other allies. These restrictions, including a "0% de minimis" rule on advanced lithography equipment enacted in October 2022, have effectively barred Chinese foundries from acquiring EUV tools, hindering their ability to produce leading-edge logic chips and compelling reliance on older deep ultraviolet (DUV) systems or multi-patterning workarounds. In response, Chinese entities have pursued reverse engineering of ASML's DUV machines, as evidenced by a 2025 incident where a firm sought ASML support after failing to reassemble a disassembled unit, though such efforts have not yielded scalable EUV equivalents due to the technological barriers in plasma generation and optics. Countermeasures from China, such as export licensing requirements for rare-earth elements like holmium imposed in October 2025, pose risks to ASML's supply chain, though the company has mitigated short-term impacts by stockpiling materials given extended procurement cycles. ASML's position underscores broader vulnerabilities in the semiconductor ecosystem, where full decoupling of supply chains—as advocated in some U.S. policy circles—remains impractical given the intricate cross-border collaborations underpinning EUV development, spanning U.S. innovation in light sources, German precision manufacturing, and Dutch system integration. This monopoly enhances ASML's leverage as a strategic asset for Western governments but also exposes the industry to policy-induced delays, as seen in Dutch hesitations over aligning fully with U.S. restrictions on mid-range lithography sales to China in 2023-2024. Ongoing U.S. efforts to enforce controls through entity lists and ally coordination have slowed China's progress in sub-5 nm nodes, yet they simultaneously strain global adoption by inflating costs and timelines for non-restricted customers, with EUV machine prices exceeding $200 million per unit as of 2025.

Future Prospects

Extensions to Single Patterning

To extend the resolution limits of single patterning in extreme ultraviolet (EUV) lithography beyond current pitches of approximately 36 nm, researchers employ resolution enhancement techniques (RETs) including source-mask optimization (SMO), inverse lithography technology (ILT), and optical proximity correction (OPC) with sub-resolution assist features (SRAFs). These methods optimize illumination pupils and mask patterns to improve image log-slope and contrast, enabling denser features in a single exposure without the overlay errors inherent in multiple patterning. For instance, SMO customizes source shapes to prioritize directions of dense patterns, achieving up to 20% process window improvements for pitches down to 32 nm in bidirectional layouts. In EUV-specific implementations, RETs address unique challenges like horizontal-vertical (H-V) asymmetry due to mask shadowing and oblique illumination across the illumination slit, which degrade critical dimension (CD) uniformity. Advanced OPC models incorporate these effects, using curvilinear ILT masks to generate non-Manhattan geometries that enhance aerial image fidelity, demonstrated to print 32 nm pitch gratings with <2 nm CD variation in single exposures using 0.33 numerical aperture (NA) tools. Imec's work has pushed 0.33 NA single patterning to 28 nm metal pitch in high-volume manufacturing contexts, leveraging bright-field masks and metal damascene processes to achieve viable line-edge roughness and stochastic defect rates. Prospects for further extension include hybrid approaches combining EUV RETs with improved resists exhibiting lower blur and reduced secondary electron effects, potentially sustaining single patterning to 26 nm pitch before multi-patterning becomes unavoidable at 0.33 NA. Direct metal etch processes, such as ruthenium deposition patterned via single EUV exposure, offer compatibility with tighter interconnect scaling by minimizing sidewall roughness compared to traditional damascene flows. These advancements, validated in 2025 demonstrations, prioritize empirical metrics like exposure latitude (>10% at 28 nm pitch) over theoretical limits, though persistent noise remains a barrier requiring >300 photons per feature for defect-free yields.

Alternatives and Beyond-EUV Technologies

Multi-patterning techniques using deep ultraviolet (DUV) at 193 nm have served as a primary to EUV for advanced nodes, enabling production of 10 nm and 7 nm features through quadruple or higher patterning steps, though at increased cost and complexity compared to single-exposure EUV. These methods rely on sequential exposures and etches to decompose dense patterns, avoiding EUV's light source challenges but amplifying process variability and defect risks. Nanoimprint lithography (NIL) presents a mechanical alternative, where a template physically stamps patterns into a resist layer, achieving resolutions below 5 nm without optical projection. Canon's FPA-1200NZ2C system, launched in October 2023, targets 5 nm circuitry with tool costs approximately 70% lower than EUV scanners and processing power consumption reduced by up to 90%. NIL's throughput can reach 100 s per hour per tool, competitive with EUV for certain applications, though template durability and defect inspection remain hurdles for high-volume logic manufacturing. Directed (DSA) employs block copolymers that spontaneously form nanoscale domains guided by pre-patterned templates from conventional , offering a bottom-up approach to multiply pattern density for features down to 5 nm half-pitch. reported DSA's potential as a complementary technique at SPIE Advanced in March 2025, demonstrating improved line-edge roughness in via-hole patterning when combined with EUV or DUV. However, DSA's defectivity and process control limitations have confined it to niche uses like contact holes rather than full-chip patterning in production. Electron beam lithography (EBL), particularly multibeam variants, provides maskless direct-write capability with sub-10 nm resolution, suitable for low-volume or customization but historically limited by throughput. Multibeam Corporation's multicolumn EBL system, debuted in June 2024, aims for volume production with 10-100x higher productivity than single-beam EBL via parallel electron columns, targeting mask manufacturing and advanced packaging. Despite advances, EBL's serial nature yields throughputs below 10 wafers per hour for dense patterns, rendering it uneconomical for mainstream high-volume fabrication. Technologies beyond EUV explore wavelengths shorter than 13.5 nm to extend scaling, with soft at 6.5-6.7 nm emerging as a candidate for 5 nm and sub-5 nm resolutions. Researchers proposed soft systems in September 2025, leveraging laser-produced sources to outperform high-NA EUV in fidelity, though and optic issues persist. initiated a December 2024 project to develop beyond-EUV sources using high-efficiency lasers, aiming for 10x brighter EUV-like output to enable smaller, faster chips at 1 nm nodes. These approaches face fundamental barriers, including immature resist chemistries and the absence of high-reflectivity multilayer mirrors at soft wavelengths, delaying commercial viability beyond the 2030s.

References

  1. [1]
    Light & lasers - Lithography principles - ASML
    EUV lithography, a technology entirely unique to ASML, uses light with a wavelength of 13.5 nanometers. This wavelength is more than 14 times shorter than DUV ...
  2. [2]
    What is EUV lithography? - IBM Research
    Jun 26, 2023 · In the case of EUV (or extreme ultraviolet) lithography, the light used is well beyond the visible light spectrum, with wavelengths only about ...
  3. [3]
    Leading Chipmakers Eye EUV Lithography to Save Moore's Law
    Oct 31, 2016 · Intel, TSMC, and other chipmakers weigh extreme ultraviolet lithography, which may be ready by 2018.
  4. [4]
    EUV lithography systems – Products - ASML
    Using extreme ultraviolet (EUV) light, our NXE and EXE systems deliver high-resolution lithography and make mass production of the world's most advanced ...
  5. [5]
    Extreme ultraviolet lithography | Nature Reviews Methods Primers
    Nov 28, 2024 · This Primer comprehensively explores the technical evolution from deep ultraviolet to extreme ultraviolet (EUV) lithography, highlighting innovative approaches.
  6. [6]
    5 things you should know about High NA EUV lithography - ASML
    Jan 25, 2024 · High NA EUV is the next step in our constant pursuit of shrink. Like NXE systems, it uses EUV light to print tiny features on silicon wafers.
  7. [7]
    Lithography principles - Technology - ASML
    A lithography (more formally known as 'photolithography') system is essentially a projection system. Light is projected through a blueprint of the pattern that ...
  8. [8]
    Lenses & mirrors - Lithography principles - ASML
    In deep ultraviolet (DUV) lithography systems, those components are lenses; in extreme ultraviolet (EUV) systems, they're mirrors.Missing: definition | Show results with:definition
  9. [9]
    Extreme ultraviolet lithography mask etch study and overview
    Mar 22, 2013 · This results in a very small percentage of EUV light being available for wafer exposure. It is difficult to emit short wavelength EUV light ...
  10. [10]
    Extreme Ultraviolet (EUV) Lithography - SPIE
    EUV lithography must necessarily be practiced at wavelengths where there are multilayer reflectors with at least moderate reflectance.
  11. [11]
    [PDF] Report from the Extreme Ultraviolet (EUV) Lithography Working ...
    Aug 16, 2023 · The operating principle of the droplet generator is that solid high-purity tin (>99.999 wt.%) is loaded into a vessel and heated above its ...
  12. [12]
    Understanding EUV Lithography: The Technology That Enables ...
    Jul 6, 2018 · With a wavelength of 13.5 nm, a reduction of almost 14 times that of 193i, we now have lot more flexibility, as the Rayleigh criterion allows us ...
  13. [13]
    The Rayleigh criterion for resolution - ASML
    In semiconductor lithography, the Rayleigh criterion for resolution determines just how small the transistors on a microchip can be.Missing: benefits | Show results with:benefits
  14. [14]
    Extreme-Ultraviolet Lithography - an overview | ScienceDirect Topics
    EUV lithography, also known as soft X-ray lithography, is defined as a method that utilizes extreme ultraviolet radiation with a wavelength of 13 nm to produce ...
  15. [15]
    Extreme ultraviolet lithography: A review - AIP Publishing
    Oct 11, 2007 · EUVL resist has improved significantly in the last few years, with 25 nm 1:1 line/space resolution being produced with approximately 2.7 nm ( 3 ...
  16. [16]
    Hiroo Kinoshita: Lighting the way for extreme ultraviolet lithography
    May 1, 2023 · The idea of extreme ultraviolet lithography (EUVL) came to Hiroo Kinoshita while he worked for Nippon Telegraph and Telephone (NTT) in the mid-1980s.
  17. [17]
    Making EUV: from lab to fab – Stories - ASML
    Mar 30, 2022 · In lithography, using shorter light wavelengths enables chipmakers to shrink the size and increase the density of the features (or transistors) ...Missing: advantages | Show results with:advantages
  18. [18]
    History of extreme ultraviolet lithography - AIP Publishing
    Nov 30, 2005 · Extreme ultraviolet lithography (EUVL) technology was proposed and progressed on both hemispheres in the latter part of the 1980s, independently.
  19. [19]
    First Working Device Made With Extreme Ultraviolet Lithograph
    May 16, 1996 · The experimental lithography tool assembled at Sandia a year ago is the first extreme ultraviolet patterning system capable of overlaying ...Missing: concept | Show results with:concept
  20. [20]
    EUVL partnership makes its stand | Lawrence Livermore National ...
    Wednesday morning's EUV Lithography Milestone ... The Engineering Test Stand prototype EUVL machine produced its first images on silicon in January.Missing: ETS | Show results with:ETS
  21. [21]
    Current Status of the EUV Engineering Test Stand | Semantic Scholar
    The EUV Engineering Test Stand (ETS) has demonstrated the printing of static and scanned 100nm dense features. This milestone was first achieved in 2001 ...
  22. [22]
    Performance upgrades in the EUV engineering test stand
    The EUV Engineering Test Stand (ETS) has demonstrated the printing of 100-nm-resolution scanned images. This milestone was first achieved while the ETS ...Missing: EUVL | Show results with:EUVL
  23. [23]
    Making EUV: from lab to fab
    ### Summary of EUV Lithography Milestones in the 2010s
  24. [24]
    [PDF] CSET - Tracing the Emergence of Extreme Ultraviolet Lithography
    Jul 11, 2024 · This paper presents a case study on the most important technology to have emerged in the past decade: extreme ultraviolet (EUV) lithography.
  25. [25]
    ASML EUV source power information from SPIE 2024 - SemiWiki
    Mar 11, 2024 · Recently progress of LPP EUV light source is remarkable. Ten years ago, power level is only several 10 W level. At present 250W power level is ...EUV productivity not the same across the fieldASML's Breakthrough 3-Pulse EUV Light SourceMore results from semiwiki.com
  26. [26]
    Indistinguishable from magic: the EUV pellicle – Stories | ASML
    Sep 14, 2022 · In 2016, the team released the first generation of EUV pellicles based on the multi-layered concept. They contained a core polycrystalline ...Missing: timeline | Show results with:timeline
  27. [27]
    5nm Technology - Taiwan Semiconductor Manufacturing Company ...
    In 2020, TSMC became the first foundry to move 5nm FinFET (N5) technology into volume production and enabled customers' innovations in smartphone and high- ...
  28. [28]
    The quiet revolution. Samsung 7LPP EUV starts production.
    This industry milestone means that our 7LPP EUV process is ready to produce fully-functional chips for our customers and partners to design into a variety of ...Missing: adoption | Show results with:adoption
  29. [29]
    ASML to Double Output of EUV Equipment by 2025 | AEI
    When it comes to EUVs, meanwhile, the company will double the shipment units from 35 units in 2020 to 75 units in 2025. On top of that, the company also aims to ...Missing: tool | Show results with:tool
  30. [30]
    Intel's New Fab in Ireland Begins High-Volume Production of Intel 4 ...
    Sep 29, 2023 · EUV plays a critical role in driving Intel toward its goals of delivering five nodes in four years and regaining leadership in process ...
  31. [31]
    [PDF] Operational Highlights - TSMC Investor Relations
    Feb 28, 2021 · In 2020, following the transfer to manufacturing of 5nm technology, the Company's R&D organization continued to fuel the pipeline of ...<|separator|>
  32. [32]
    [News] ASML Confirms First High-NA EUV EXE:5200 ... - TrendForce
    Jul 17, 2025 · Notably, ASML anticipates around a 30% jump in its EUV business in 2025. ASML's first High-NA EUV customer is confirmed to be Intel, which plans ...Missing: 2020-2025 | Show results with:2020-2025
  33. [33]
    ASML ships first High-NA EUV tool; Intel takes lead as TSMC ...
    Jul 18, 2025 · ASML shipped its first High-NA EUV tool, with Intel as the first customer. TSMC may defer adoption, while Samsung is considering it for sub-2nm ...Missing: widespread 2020-2025
  34. [34]
    ASML Sees 30% EUV Growth in 2025: Is Demand Sustainable ...
    Aug 26, 2025 · ASML Holding expects EUV sales to surge 30% in 2025 on AI and memory demand, but macro risks cloud the outlook for 2026.
  35. [35]
    Physics of laser-driven tin plasma sources of EUV radiation for ...
    Aug 19, 2019 · Laser-produced transient tin plasmas are the sources of extreme ultraviolet (EUV) light at 13.5 nm wavelength for next-generation nanolithography.Abstract · Introduction · Prepulse: laser-droplet... · Main pulse: plasma EUV...
  36. [36]
    Laser produced plasma light sources for EUV lithography
    This includes operation of high-power pulsed CO2 lasers, high repetition-rate Sn droplet targets, and collection of EUV light using multilayer-coated optics.
  37. [37]
    High power laser plasma EUV light source for lithography
    The main technological challenge of a future extreme ultraviolet (EUV) light source is the required average power of. 115W at the intermediate focus.
  38. [38]
    [PDF] Laser Produced Plasma Light Source for EUVL - Cymer
    This paper describes the development of laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source architecture for advanced lithography applications in high ...
  39. [39]
    Evolution of EUV light source architecture for continued ...
    Apr 22, 2025 · Between Q3 2024 and Q1 2025, we achieved a significant milestone by successfully validating the EUV source power at levels exceeding 600W across ...Missing: output | Show results with:output
  40. [40]
    ASML's road to 1000-watt EUV source power - Bits&Chips
    Jan 14, 2025 · Power output is set to double this year to 600 watts, while the roadmap extends beyond 1,000 watts. Remember the EUV light source? Ten years ...
  41. [41]
    Cavity-based compact light source for extreme ultraviolet lithography
    In current EUV lithography, a 500 W EUV light source based on laser-produced plasma (LPP) has been successfully applied to high-volume nanoscale chip ...
  42. [42]
    Generation of EUV radiation | TRUMPF
    Generation of EUV radiation using a CO2 high-power laser system and tin for production-ready EUV exposure of semi-conductors.
  43. [43]
    EUV lithography and technology | ZEISS SMT
    It enables precise alignment of the wafer to the mask and projection optics for the wafer exposure. Despite thermal loads and high dynamic stress in the ...Missing: operation | Show results with:operation
  44. [44]
    Group design for the initial structure of an extreme ultraviolet ...
    Nov 27, 2024 · Commercial EUV lithography projection systems are designed with six-mirror, using high order aspherical surfaces or freeform surfaces, ...
  45. [45]
    [PDF] Extreme Ultraviolet Lithography - reflective mask technology - OSTI
    The optical surfaces are coated with a thin multilayer coating stack for enhanced reflectivity. The multilayer comprises. 80 alternating MO and Si layers at a ...
  46. [46]
    Refined extreme ultraviolet mask stack model
    The refined EUV mask stack model includes a Mo/Si multilayer with Ru, and a TaBN/TaBO absorber layer, derived from EUV and x-ray measurements.
  47. [47]
    Metrology for extreme ultraviolet lithography | NIST
    Sep 22, 2015 · Moreover generating sufficient radiation in the EUV is extremely difficult, so all efforts must be taken to ensure that the reflective optics ...
  48. [48]
  49. [49]
    Perspectives and tradeoffs of absorber materials for high NA EUV ...
    Oct 1, 2020 · This paper employs rigorous mask diffraction and imaging simulation to understand the impact of the EUV mask absorber and to identify the most appropriate ...
  50. [50]
    [PDF] Masks for Extreme Ultraviolet Lithography - OSTI.gov
    In order to maintain maximum exposure intensity and adequate illumination uniformity in the wafer image field, the. EWL mask must reflect EW light of the proper ...<|control11|><|separator|>
  51. [51]
    Inside an EUV mask: Multilayer structure, absorber, and pellicle ...
    Jul 28, 2025 · The purpose of this multilayer is to efficiently reflect EUV light, which has a wavelength of 13.5 nanometers—significantly smaller than the ...
  52. [52]
    Ru/Ta bilayer approach to EUV mask absorbers - ScienceDirect.com
    The optical properties and geometry of EUV mask absorbers play an essential role in determining the imaging performance of a mask in EUV lithography.<|separator|>
  53. [53]
    Mask absorber for next generation EUV lithography
    In this paper we propose several candidates as novel EUV lithography mask absorbers, namely TaTeN, Ru-Ta and Pt-Mo alloys.
  54. [54]
    "Optimization of Alternative Mask Absorber Materials for EUV ...
    Aug 15, 2023 · This research focuses on determining the alternative mask absorber candidates for the reflective EUV lithography masks.
  55. [55]
    The influence of reflectivity on EUV lithography performance of low-n ...
    Nov 22, 2024 · This study compares the lithography performance of low-n EUV masks with different reflectivity to our Ta-based EUV mask reference.
  56. [56]
    EUV optics portfolio extended: first high-NA systems delivered and ...
    Apr 22, 2025 · From NXE:3400 to NXE:3600D the source power has been increased by about 40%, from NXE:3600D to the current NXE:3800E system supporting a ...<|separator|>
  57. [57]
    Review The development of laser-produced plasma EUV light source
    The main challenge of EUVL is to achieve high enough wafer throughput in high-volume manufacturing (HVM). The difficulty is the high absorption of the extreme ...
  58. [58]
    EUV Lithography Issues Engineers Face | Overlooked Risks & Fixes
    Aug 7, 2025 · The ecosystem faces critical bottlenecks, from ASML tool monopolies and long lead times to fragile subsystems requiring constant maintenance and ...
  59. [59]
    ASML EUV Update at SPIE - SemiWiki
    Jun 24, 2022 · NXE:3600D systems can produce 160 wafers per hour (wph) at 30mJ/cm2, 18% better than the NXE:3400C. The NXE:3800E systems in development will ...Missing: output | Show results with:output
  60. [60]
    [PDF] Statutory Interim Report 2025 - ASML Brand Portal
    Jul 16, 2025 · We completed a large number of field upgrades to the 220 wafers per hour configuration on the NXE:3800E systems. New NXE:3800E systems are now ...
  61. [61]
    ASML delivers cutting-edge new chipmaking tool - SemiWiki
    Mar 18, 2024 · The new system can process over 195 wafers per hour at a 30 mJ/cm^2 dose and promises a further increase in performance to 220 wph with a ...
  62. [62]
    EUV Pellicle, Uptime And Resist Issues Continue
    Sep 26, 2018 · EUV scanner uptimes remain in the 70% to 80% range. Uptime, a measure of the amount of time that a system is in operation, should be close to ...
  63. [63]
    ASML's EUV Tools Have A Throughput Problem, But Lyncean Has ...
    Oct 12, 2021 · A TSMC fab with 10 EUV machines running N3 wafers would only be capable of ~15,000 wafers per month at current power output and uptime rates.
  64. [64]
    [PDF] EUV Lithography at the Threshold of High Volume Manufacturing
    At 1.5 days between masking steps, total process time is reduced by nearly one month by using EUV lithography! Cycle time and masks. • But what happens if we ...
  65. [65]
    [PDF] Low-Order Aberrations Correction of Extreme Ultraviolet Imaging ...
    Astigmatism arising from figure error of a mirror substrate is the primary aberration which spoils spatial resolution. In this study, we develop a deformable ...<|separator|>
  66. [66]
    Aberration budget analysis of EUV lithography from the imaging ...
    Sep 15, 2023 · In EUVL, the aberration of projection optics brings in the adverse effects, such as reducing the available process window [6], deteriorating the ...
  67. [67]
    Tool to tool aberration residuals across the slit - ResearchGate
    For patterns commonly used in EUV single exposure at the 5 nm technology node, this paper finds that aberrations such as Z2 and Z4 exhibit significant impacts ...
  68. [68]
    Metrology development for extreme ultraviolet lithography: Flare and ...
    Nov 17, 2011 · Flare in EUVL is caused by light scattered by the surface roughness of the optical elements and has a larger impact as compared to optical ...Missing: chromatic | Show results with:chromatic
  69. [69]
    Review of resist-based flare measurement methods for extreme ...
    Dec 17, 2013 · The observation of the increased flare level in the NXE:3100 tool suggests that contamination of EUV optics may be a potential problem for EUVL ...Missing: chromatic | Show results with:chromatic
  70. [70]
    Horizontal, Vertical, and Slanted Line Shadowing Across Slit in Low ...
    Jan 7, 2022 · The vertical line shadowing varies linearly across slit, because when the azimuthal angle flips sign going from one side of the slit to the ...
  71. [71]
    Feasibility of compensating for EUV field edge effects through OPC
    Apr 17, 2014 · EUVL requires a non-telecentric reflective optical system for operation. This requirement causes EUV specific effects such as shadowing. The ...
  72. [72]
    Revisiting Cross-Slit Illumination Rotation in EUV Lithography Systems
    Nov 3, 2024 · Going from slit center to slit edge, these regions are rotated (Figure 1). As a result, some illumination angles are now coming from directions ...
  73. [73]
    Optical considerations of EUVL wavelength, NA, and multilayers at ...
    Apr 22, 2025 · As EUV lithography is pursued at 13.5nm with numerical apertures (NA) of 0.55 and higher, the consequences of large angles on multilayer design, wavelength ...
  74. [74]
    [PDF] Collecting EUV mask images through focus by wavelength tuning
    3.1 Wavelength dependence of the defocus. The relationship between the illumination wavelength and the zoneplate's focal length can be derived in a few steps.
  75. [75]
    [PDF] Wavefront Measurement for EUV Lithography System through ...
    The Hartmann Wavefront Sensor (HWFS) is used to measure wavefront aberrations in EUV lithography, detecting aberrations in the EUV Lithography machine.
  76. [76]
    Method for the Characterization of Extreme-Ultraviolet Photoresist ...
    Outgassing from photoresists illuminated by extreme ultraviolet radiation can lead to degradation of the very expensive multilayer-coated optics.
  77. [77]
    EUV-induced carbon growth at contaminant pressures between 10 ...
    Carbon contamination induced by ultraviolet (UV) radiation affects precision optics in applications as diverse as semiconductor lithography and satellite ...
  78. [78]
    Characterization of Outgassing for EUV Technology
    Outgassing of photoresists during exposure leads to contamination of the exposure tool optics and masks, when outgassed contaminants deposit on the surfaces of ...
  79. [79]
    Effect of Particle Contamination on Extreme Ultraviolet (EUV) Mask ...
    Particle contaminants on EUV mask surface can cause CD error during EUV lithography process. These contaminants should be removed from EUV mask.
  80. [80]
    Finding Defects In EUV Masks - Semiconductor Engineering
    Jan 23, 2020 · EUV blanks also are prone to amplitude defects. These defects are surface particles and pits, which can cause contrast changes. Today, the ...
  81. [81]
    Effect of contaminants on pellicle lifetime for EUV lithography
    Feb 22, 2021 · The lifetime of the EUV pellicle might be reduced, if contaminant particles accumulate on the pellicle during the EUV lithography process.
  82. [82]
    Cleanroom contamination sources and mitigation strategies in EUV ...
    Jul 28, 2025 · Particulate Contamination: Particles, whether airborne or surface-bound, pose a significant threat to EUV lithography. Dust, fibers, and flakes ...
  83. [83]
    Acceleration mechanisms of energetic ion debris in laser-driven tin ...
    Apr 22, 2024 · A major challenge facing industrial EUV source development is predicting energetic ion debris produced during the plasma expansion that may ...
  84. [84]
    Mitigation of debris from a laser plasma EUV source and from ...
    Mitigation of debris from a laser plasma EUV ... Particle sputter calculations were also performed to quantitatively evaluate the debris mitigation effect.
  85. [85]
    Origin of Debris in EUV Sources and Its Mitigation
    Particle emission is an unavoidable consequence of using a plasma to generate photons. These particles will interfere with the collection mirrors for the ...Missing: EUVL | Show results with:EUVL
  86. [86]
    Behavior of debris from laser-produced plasma for extreme ...
    Nov 1, 2006 · The behavior of debris generated from a laser-produced plasma (LPP) for the extreme ultraviolet light source at 13.5nm has been studied ...
  87. [87]
    Source mask optimization (SMO) study for high-NA EUV lithography ...
    Apr 10, 2024 · In this paper, we assess SMO results for various mask solutions including mask tonality, mask absorber, and SRAF which is one of the important resolution ...
  88. [88]
    Gradient-Based Source Mask Optimization for Extreme Ultraviolet ...
    Nov 9, 2018 · This paper develops two kinds of model-based source and mask optimization (SMO) frameworks, referred to as the parametric SMO and the pixelated ...<|separator|>
  89. [89]
    Pixelated source polarization optimization for high-NA EUV ...
    Apr 22, 2025 · This paper proposes a pixelated source polarization optimization method to enhance lithographic performance in high-NA EUV lithography.Missing: computational | Show results with:computational
  90. [90]
    Advancements and challenges in inverse lithography technology
    Jul 24, 2025 · The ILT leverages optimization algorithms to generate mask patterns, outperforming traditional optical proximity correction methods. This review ...
  91. [91]
    Inverse lithography technology: 30 years from concept to practical ...
    Aug 31, 2021 · We explore the background and history of ILT and detail the significant milestones that have taken full-chip ILT from an academic concept to a practical ...
  92. [92]
    GPU-Accelerated Inverse Lithography Towards High Quality Curvy ...
    Mar 16, 2025 · In this paper, we introduce a GPU-accelerated ILT algorithm that improves not only contour quality and process window but also the precision of curvilinear ...<|separator|>
  93. [93]
    Effective multi-objective inverse lithography technology at full-field ...
    May 24, 2023 · Abstract. Inverse lithography technology (ILT), such as source mask optimization (SMO), is used to improve lithography performance. Usually, a ...
  94. [94]
    Linearized EUV mask optimization based on the adjoint method
    Feb 22, 2024 · In this work, a linearized EUV mask optimization method based on the adjoint method is proposed to provide fast and effective optimizations. The ...
  95. [95]
    [PDF] Proteus Inverse Lithography Technology (ILT) - Synopsys
    Proteus EUV ILT provides added inverse lithography correction support for EUV processes enabling correction compensation for off axis shadowing effects and ...
  96. [96]
    Siemens-imec collaboration reduces stochastic failures in EUV ...
    Sep 11, 2025 · Siemens stochastic-aware OPC reduces EUV stochastic failures at wafer level for SRAM and logic, validating predictive modeling with ...
  97. [97]
    Optical Proximity Correction (OPC) - Semiconductor Engineering
    A resolution enhancement technique (RET), OPC makes use of tiny sub-resolution assist features (SRAFs), or decoration-like shapes, on the photomask.
  98. [98]
    Pupil, mask, wavefront co-optimization for enhanced EUV patterning
    Sep 22, 2025 · ... EUV and DUV patterning, with a focus on source-mask optimization, stochastic modeling, and process window enhancement. Before joining ASML ...
  99. [99]
    OPC and modeling solution to support 0.55NA EUV stitching
    Nov 12, 2024 · EUV scanner flare compensation in OPC is essential for ensuring pattern fidelity, and a precise flare map is necessary for effective OPC.Missing: assist | Show results with:assist
  100. [100]
    Fast EUV Lithography Source Optimization Using Cascade ...
    Nov 4, 2021 · This paper proposes a fast SO method based on cascade compressed sensing (CCS-SO) to circumvent the redundant computation problem.
  101. [101]
    Training data selection and optimization for EUV lithography deep ...
    Apr 30, 2023 · In this work, we expand on the data efficiency enhancements with domain knowledge-based data selection and the use of alternative data generated by different ...
  102. [102]
    Strategies for aggressive scaling of EUV multi-patterning to sub-20 ...
    Feb 24, 2020 · Strategies for aggressive scaling of EUV multi-patterning to sub-20 nm features for SPIE Advanced Lithography 2020 by A. Dutta et al.<|separator|>
  103. [103]
    Multi-Patterning EUV Vs. High-NA EUV - Semiconductor Engineering
    Dec 4, 2019 · Multiple patterning has extended IC scaling down to 7nm, but it also increases the complexity at each node. “The reason why 193nm immersion ...
  104. [104]
    Via Multipatterning Regardless of Wavelength as High-NA EUV...
    Sep 28, 2025 · Multipatterning is necessary for 2nm via patterning regardless of using EUV or not, requiring multiple masks for gate contacts and source ...<|control11|><|separator|>
  105. [105]
    Intel hedges its bet for High-NA EUV with the 14A process node
    May 2, 2025 · Employing multipatterning often reduces yields, but Intel's claim of yield parity speaks to the advances of modern multipatterning, particularly ...
  106. [106]
    TSMC Is Reportedly Skipping High-NA EUV For The A14 (1.4nm ...
    Apr 29, 2025 · TSMC will not be using high-NA EUV lithography to pattern A14 chips, manufacturing of which is scheduled to start in 2028.TSMC statement on next-generation EUV | Page 2 - SemiWikiCurrent multi-patterning techniques (TSMC, Intel, Samsung, GF)More results from semiwiki.com
  107. [107]
    Single Vs. Multi-Patterning Advancements For EUV
    Jun 20, 2024 · Single patterning involves using a single exposure step to create the desired patterns on a wafer, reducing the number of process steps and associated costs.
  108. [108]
    Challenges and limits to patterning using extreme ultraviolet ...
    New resist architectures will be needed to avoid extremely high exposure doses to pattern features below 10-nm half-pitch. More energy-efficient EUV light ...
  109. [109]
    5 things you should know about High NA in EUV
    ### Summary of High NA EUV Lithography
  110. [110]
    ASML EUV lithography systems
    ### Summary of High-NA EUV Systems from ASML
  111. [111]
    Anamorphic objective design for extreme ultraviolet lithography at ...
    A larger image size of field is one of the greatest advantages of anamorphic EUV objective. Tables Icon. Table 1. Optical Specifications of the EUVL Objective.
  112. [112]
    [PDF] High-NA EUV lithography optics becomes reality - Zeiss
    An anamorphic design allows to reduce absorber shadowing while keeping a 6” mask and to only reduce the exposure field by a factor of two. High system ...
  113. [113]
    High NA EUV has arrived, what are the upcoming challenges? - SPIE
    Sep 22, 2025 · We will discuss status and challenges of Depth of Focus, stitching, availability, and throughput for Half Field and Full Field exposures.
  114. [114]
    High-NA-EUV Lithography: the next EUV generation | ZEISS SMT
    With High-NA-EUV lithography, the NA is 0.55. Because the NA has become larger, the illumination system and projection optics must also be significantly larger.
  115. [115]
    [PDF] What is photon shot noise? Conclusion Simulation result Attenuated ...
    The term “shot noise” → analogy of the discrete photons that make up a stream of light to the tiny pellets that compose the.
  116. [116]
    EUV Shot Noise Impact on 7nm - SemiWiki
    Jun 27, 2016 · The general idea is that photons used in lithography arrive randomly from the source, within the printed area.
  117. [117]
    The Shot Noise Impact on Resist Roughness in EUV Lithography
    However, at EUV (due to the higher photon energy and hence lower photons per pixel), we are approaching a shot noise limit and edge roughness may be enhanced by.
  118. [118]
    [PDF] Shot noise effect analysis in E-beam and EUV lithography
    ○ High energy photon or e-beam makes large shot noise effect. ○ For e-beam ... ○ For EUV lithography, shot noise LER is 1.7nm for 22nm node with. 10mJ ...
  119. [119]
  120. [120]
    Understanding the impact of the EUV photon absorption distribution ...
    Sep 22, 2025 · Therefore, photoresists with high EUV absorption are required to minimize the stochastic effects on patterning, such as photon and chemical shot ...
  121. [121]
    Studying secondary electron behavior in EUV resists using ...
    EUV photons expose photoresists by complex interactions starting with photoionization that create primary electrons (~80 eV), followed by ionization steps ...
  122. [122]
    Unraveling the role of secondary electrons upon their interaction ...
    Oct 16, 2017 · In this work we have introduced a method to measure the chemical interaction of the secondary electrons with the EUV resist. The method is based ...
  123. [123]
    [PDF] Study of Line Edge Roughness and Interactions of ... - Berkeley EECS
    Jul 9, 2017 · The two main phenomena pertaining to EUV resists that are studied in this thesis are line edge roughness (LER) and interaction of secondary ...
  124. [124]
    (PDF) Secondary Electrons in EUV Lithography - ResearchGate
    Aug 6, 2025 · In contrast, under highenergy EUV radiation (92 eV), atoms within the EUV photoresist are excited to generate secondary electrons, which ...
  125. [125]
    How Secondary Electrons Worsen EUV Stochastics
    Oct 5, 2025 · Pollentier et al., “Unraveling the role of secondary electrons upon their interaction with photoresist during EUV exposure,” Proc. SPIE ...
  126. [126]
    Suppressing of secondary electron diffusion for high-precision ...
    We report significant progress in high-resolution patterning via suppressing of residues caused by secondary electron diffusion, and 10 nm line-space ...
  127. [127]
    [PDF] Critical challenges for EUV resist materials - OSTI.gov
    Critical challenges for EUV resist materials include meeting resolution, line-edge roughness (LER), and sensitivity requirements, especially for 22-nm and ...Missing: variability | Show results with:variability
  128. [128]
    Improving EUV Process Efficiency - Semiconductor Engineering
    Mar 19, 2020 · For this, various compounds are processed in a chemical vapor deposition (CVD) system, which creates an EUV resist. Instead of spin coating ...
  129. [129]
    [PDF] Line-edge roughness performance targets for EUV lithography
    Line Edge Roughness (LER) is a concern in EUV lithography due to stochastic noise. LER is described by 3σ magnitude, correlation length, and roughness exponent.
  130. [130]
    CD uniformity improvement for EUV resists process
    Apr 7, 2011 · The most critical issues are line width roughness (LWR) and critical dimension (CD) variation across a field. Although there are many studies to ...Missing: variability | Show results with:variability
  131. [131]
    Billions And Billions Invested - Semiconductor Engineering
    Apr 17, 2014 · To date, ASML Holding itself has invested about $2.8 billion in R&D for EUV. In 2012, ASML also obtained a combined total of $1.9 billion in R&D ...
  132. [132]
    How ASML Makes Chips Faster With Its New $400 Million High NA ...
    May 22, 2025 · ... ASML spent a decade developing a $400 million machine that's transforming how microchips are made. High NA is the latest generation of EUV ...
  133. [133]
    Inside ASML, the company advanced chipmakers use for EUV ...
    Mar 23, 2022 · The EUV light is created with tiny explosions of molten tin happening at extreme speeds and then bounced off unique Zeiss mirrors that ASML says ...
  134. [134]
    Intel has championed High-NA EUV chipmaking tools, but costs and ...
    Apr 16, 2025 · ASML's Twinscan EXE:5000 weighs 150 tons and is priced around $380 million – $400 million, roughly double that of its Low-NA Twinscan NXE ...
  135. [135]
    TSMC secures $350 million High NA EUV system for 1.4nm chip ...
    Nov 4, 2024 · TSMC joins the elite club of chipmakers acquiring ASML's $350 million High NA EUV lithography system, positioning itself for next-generation ...
  136. [136]
    ASML High-NA EUV Twinscan EXE Machines Cost $380 Million, 10 ...
    Feb 14, 2024 · ASML has revealed that its cutting-edge High-NA extreme ultraviolet (EUV) chipmaking tools, called High-NA Twinscan EXE, will cost around $380 million each.Missing: investment | Show results with:investment
  137. [137]
    Extreme Ultraviolet (EUV) Lithography Market Size, Share & Trends
    The Extreme Ultraviolet (EUV) Lithography Market is expected to grow from USD 12.18 billion in 2024 to USD 22.69 billion by 2029, at a CAGR of 13.2% from 2024 ...
  138. [138]
    Extreme Ultraviolet Lithography Market Size Report, 2030
    The global extreme ultraviolet lithography market size was estimated at USD 9.42 billion in 2023 and is projected to reach USD 26.43 billion by 2030, ...
  139. [139]
    EUV Lithography Market Size, Growth Drivers & Industry Forecast ...
    Jun 26, 2025 · The EUV Lithography Market is expected to reach USD 23.71 billion in 2025 and grow at a CAGR of 9.49% to reach USD 37.32 billion by 2030.
  140. [140]
    TSMC to receive first High NA EUV lithography machine from ASML ...
    Nov 1, 2024 · It is unclear how many of the machines TSMC has bought from ASML, but each High NA unit costs approximately $370 million a piece. Netherlands- ...
  141. [141]
  142. [142]
    [News] AI Chip Demand Spurs TSMC's High-NA EUV Deployment
    Nov 25, 2024 · In 2019, TSMC officially launched its N7+ node, the first process to use EUV lithography, operating around 10 standard EUV machines at the time.
  143. [143]
    TSMC now reportedly operates over half of global EUVs, weighs ...
    Nov 13, 2024 · Samsung set to introduce high-NA EUV equipment by early 2025 ... High-NA EUV adoption hampered by cost, mass-production on track for 2026.Missing: milestones | Show results with:milestones
  144. [144]
    First high-NA EUV to arrive early for TSMC - SemiWiki
    Sep 10, 2024 · TSMC is set to receive its first high NA EUV chip manufacturing machine from the Dutch firm ASML later this month, according to rumors swirling in Taiwan.Missing: deployment | Show results with:deployment
  145. [145]
    TSMC to Adopt High NA EUV Lithography in A14P Process by 2028
    Jul 30, 2024 · The report indicates that the A14 process, the successor to A16, is expected to enter risk production in early 2026, with mass production ...
  146. [146]
    Samsung Electronics Starts Production of EUV-based 7nm LPP ...
    The commercialization of its newest process node, 7LPP gives customers the ability to build a full range of exciting new products that will push the boundaries ...Missing: adoption | Show results with:adoption
  147. [147]
    Samsung Successfully Completes 5nm EUV Development to Allow ...
    Apr 16, 2019 · Like its predecessor, 5nm uses EUV lithography in metal layer patterning and reduces mask layers while providing better fidelity. Another key ...Missing: adoption | Show results with:adoption
  148. [148]
    Samsung Electronics Begins Mass Production at New EUV ...
    Feb 20, 2020 · The facility, V1, is Samsung's first semiconductor production line dedicated to the extreme ultraviolet (EUV) lithography technology.
  149. [149]
    Samsung to use more ASML High-NA EUV lithography tools to ...
    Sep 4, 2025 · Samsung is also poised to use ASML's new High-NA EUV lithography machine for its next-gen 2nm GAA process node, alongside SK hynix in 2026 ...Missing: adoption | Show results with:adoption
  150. [150]
    Samsung to Receive Two ASML High-NA EUV Lithography ...
    Oct 16, 2025 · Samsung's adoption of High-NA EUV will play a central role in its 2nm process roadmap. Sources suggest the company plans to leverage the new ...
  151. [151]
    High NA EUV Equipment is Bound to be a Burden for Chipmakers
    May 17, 2025 · High-NA adds 3 new problems Low-NA doesn't have: (1) reduced depth of focus (so need much thinner resist films); (2) the 104 mm x 132 mm ...
  152. [152]
    [News] EUV as a Strategic Asset in the Most Advanced Processes
    Nov 23, 2023 · Following 2nm chips. Samsung plans to achieve mass production of 2nm processes in the mobile field by 2025, expanding to HPC and automotive ...Missing: milestones | Show results with:milestones
  153. [153]
    Intel completes assembly of first commercial High-NA EUV ...
    addresses cost concerns, preps for 14A process development in 2025.
  154. [154]
    With High NA EUV, Intel Foundry Opens New Frontier in Chipmaking
    Apr 18, 2024 · Intel announced its plans to adopt High NA EUV in 2021, and in 2022 Intel and ASML announced their continued collaboration to drive this ...Missing: 2020-2025 | Show results with:2020-2025
  155. [155]
    Intel produced 30,000 wafers on ASML's high NA EUV - SemiWiki
    Feb 24, 2025 · Intel last year was the world's first chipmaker to take delivery of the machines, which are expected to produce smaller and faster computing ...<|control11|><|separator|>
  156. [156]
    TSMC, Samsung, and Intel: Who's Leading the EUV Race? - LinkedIn
    Feb 19, 2025 · While Samsung jumped into EUV at 7nm, TSMC waited until 7nm+ when the technology was stable. EUV adoption timeline: • N7+ (2019): Introduced ...
  157. [157]
    EUV's Future Looks Even Brighter - Semiconductor Engineering
    Feb 20, 2025 · EUV lithography relies on high-energy laser sources to generate extreme ultraviolet light at a wavelength of 13.5 nm. These light sources are ...Missing: output | Show results with:output
  158. [158]
    ASML Aims 30% EUV Revenue Growth in FY25: Can it Hit the Target?
    Aug 12, 2025 · The higher productivity NXE:3800E systems, which are now shipping at full specification of 220 wafers per hour configuration, will allow its ...
  159. [159]
    Tracing the Emergence of Extreme Ultraviolet Lithography
    Extreme ultraviolet (EUV) lithography is the most important technology to have emerged out of the semiconductor industry in recent years.
  160. [160]
    How ASML Is Redefining Technology, One Nanometer at a Time
    Jan 16, 2025 · EUV lithography allows manufacturers to produce chips with transistor sizes below 4 nanometers, enabling higher performance and energy ...
  161. [161]
    Powering the Future: ASML's Indispensable Role in Chip ...
    Mar 8, 2024 · Each EUV machine costs around $150-200 million and each machine is expected to generate 150% of its sales price in service revenue. DUV machines ...
  162. [162]
    Complete list of all suppliers and vendors for ASML - Robots Ops
    Jul 10, 2024 · Details: Zeiss provides the precision optical components essential for ASML's lithography systems, including lenses and mirrors used in the EUV ...
  163. [163]
    EUV Drive Laser - TRUMPF
    ASML, Zeiss, and TRUMPF joined forces to develop a technology to produce extreme ultraviolet (EUV) light with a wavelength of 13.5 nanometers for industrial use ...
  164. [164]
    Extreme Ultraviolet Lithography Market Size & Share Report, 2034
    EUV systems shipped by ASML reached 42 units in 2023, generating around USD ... ASML is the global leader in EUV lithography systems, pioneering high-precision ...Missing: shipments | Show results with:shipments
  165. [165]
    Breakthroughs or Boasts? Assessing Recent Chinese Lithography ...
    Sep 24, 2025 · These measures target not only high-end GPUs but also the tools that produce them, blocking ASML from shipping EUV systems to Chinese foundries.
  166. [166]
    US Export Controls on AI and Semiconductors: Two Divergent Visions
    Mar 24, 2025 · While export controls on EUV-lithography equipment have successfully prevented China from producing the most advanced logic chips, Chinese ...
  167. [167]
    Hard Then, Harder Now: CoCom's Lessons and the Challenge of ...
    Sep 15, 2025 · Additionally, the Biden administration imposed a “0% de minimis rule” on advanced lithography, a rule that effectively blocks China from ...
  168. [168]
  169. [169]
    ASML is prepared for China's rare-earth export controls
    Oct 15, 2025 · Under the new export control rules China imposed last week, companies have to get an export license to ship such rare earth materials as holmium ...Missing: geopolitical | Show results with:geopolitical
  170. [170]
    ASML says Decoupling Chip Supply Chain is Practically Impossible
    Jun 30, 2023 · ASML is the world's exclusive maker of cutting-edge chip equipment known as extreme ultraviolet (EUV) lithography machines, enabling production ...
  171. [171]
    Etching Out Influence: Exploring ASML as a Strategic Asset in EU ...
    Sep 17, 2025 · ASML's monopoly in EUV lithography is an underutilised strategic asset, offering significant opportunities to protect EU interests. This brief ...
  172. [172]
    The Evolution of China's Semiconductor Industry under U.S. Export ...
    Nov 20, 2024 · ... China overcome Western pressure via export controls and investment restrictions. ... EUV lithography. Likewise, it is difficult to forecast ...Missing: constraints | Show results with:constraints
  173. [173]
    The $200M Machine that Prints Microchips: The EUV ... - YouTube
    Aug 30, 2025 · Still hungry to learn more about ASML's EUV lithography systems? Explore here: https://www.asml.com/en/products/euv-lithography-systems Want ...
  174. [174]
    Application of EUV resolution enhancement techniques (RET) to ...
    Mar 18, 2016 · In this work, we demonstrate the application of advanced EUV resolution enhancement techniques to enable bidirectional printing of 36 and 32 nm ...
  175. [175]
    Application of EUV resolution enhancement techniques (RET) to ...
    Aug 9, 2025 · Application of EUV resolution enhancement techniques (RET) to optimize and extend single exposure bi-directional patterning for 7nm and beyond ...<|separator|>
  176. [176]
    NA0.33 EUV extension for HVM: Single-patterning 28nm metal pitch ...
    Apr 22, 2025 · This presentation highlights imec's efforts to extend the pitch limit of single patterning with NA0.33 EUV to a 28nm pitch metal layer in ...
  177. [177]
    NA0.33 EUV extension for HVM: testing single patterning limits
    Apr 22, 2025 · The focus of this paper is to highlight IMEC's advancements in extending the resolution limit of 0.33NA EUV single patterning to 28 and to ...
  178. [178]
    Imec achieves new milestones in single patterning High NA EUV
    Sep 22, 2025 · The results were obtained with a metal oxide resist (MOR), which was co-optimized with underlayer, illumination pupil shape and mask selection.<|control11|><|separator|>
  179. [179]
    [PDF] Lithography: What are the alternatives to EUV?
    Sep 2, 2014 · The good news is that it's possible to get to 10nm and even 7nm without EUV using multi-patterning.
  180. [180]
    Other lithography approaches offer alternatives - SPIE
    Mar 4, 2025 · Florian Gstrein, a senior principal engineer at Intel, presented a paper on another alternative lithography technique: directed self-assembly. ...
  181. [181]
    Canon announces alternative to EUV litho for writing 5nm circuitry
    Oct 16, 2023 · Canon announced the launch of its FPA-1200NZ2C nanoimprint semiconductor manufacturing machine which is an alternative to EUV litho for making 5nm IC circuitry.
  182. [182]
    Nanoimprint Lithography Aims to Take on EUV - IEEE Spectrum
    Jan 2, 2025 · Nanoimprint Lithography: Smaller, Cheaper​​ In EUV, this pattern is captured on a mirror and is then reflected onto the silicon. But in NIL, a “ ...
  183. [183]
    Nanoimprint Finally Finds Its Footing - Semiconductor Engineering
    Apr 20, 2023 · NIL has a number of advantages over conventional optical lithography, including EUV. Among them: It can reproduce feature sizes below 5nm ...
  184. [184]
    Directed Self-Assembly Finds Its Footing - Semiconductor Engineering
    Aug 17, 2023 · Directed self-assembly (DSA) typically is described as a process in which co-polymer materials self-assemble to form nanoscale resolution ...
  185. [185]
    Review of Directed Self-Assembly Material, Processing, and ...
    May 31, 2025 · DSA lithography is an advanced patterning technology that combines "bottom-up" self-assembly of BCP thin films with “top-down” lithographic ...
  186. [186]
    Multibeam Debuts Semiconductor Industry's First Multicolumn E ...
    Jun 28, 2024 · The MB platform is a multicolumn E-Beam Lithography system for mass production, with high throughput, designed for volume production, and has ...
  187. [187]
    Powering Electron Beam Lithography w/ Multibeam | Synopsys Blog
    Apr 24, 2024 · E-beam lithography uses electron beams to pattern wafers, enabling faster yield ramp and direct-write processes, and rapid design iterations.
  188. [188]
    Electron Beam Lithography Challenges You Should Know
    Dec 2, 2024 · The slow writing speeds make electron beam lithography less suitable for high-volume production. Industries requiring rapid nanostructure ...
  189. [189]
    [News] Researchers Propose “Beyond EUV” Using Soft X-Rays ...
    Sep 22, 2025 · The method employs 6.5–6.7nm soft X-ray lasers, which the report notes could enable resolutions down to 5nm and below. To put this into context, ...
  190. [190]
    LLNL selected to lead next-gen extreme ultraviolet lithography ...
    Dec 23, 2024 · A new research partnership led by LLNL aims to lay the groundwork for the next evolution of extreme ultraviolet (EUV) lithography.
  191. [191]