Fact-checked by Grok 2 weeks ago

Immersion lithography

Immersion lithography is a technique used in to integrated circuits, where a thin layer of —typically ultra-pure with a of 1.44—is introduced between the projection lens of the tool and the surface of the wafer to enhance . This immersion fluid effectively shortens the of the exposure light (usually 193 nm from an ) to about 134 nm and enables the (NA) of the lens to exceed 1, up to 1.35, thereby improving feature resolution according to the formula k_1 \lambda / \mathrm{NA} and increasing by a factor proportional to \lambda / \mathrm{NA}^2. The concept of immersion lithography was first proposed in 1987 by , then at , during a presentation at the International Conference on Microcircuit Engineering, as a method to extend the capabilities of optical by replacing air with a higher-refractive-index medium. Although initially overlooked, the idea gained traction in the early 2000s as an alternative to the problematic 157 nm fluorine , which faced challenges with optical materials and photoresists. , in collaboration with partners like and , developed the first practical immersion systems, with the prototype TWINSCAN AT:1150i demonstrated in 2003 and the production-ready XT:1250i announced in December 2003. Immersion lithography provided critical advantages over dry lithography, including an approximately 30% improvement in and enhanced , allowing for the reliable production of features down to 45 nm half-pitch without requiring a shift to shorter wavelengths. It extended the viability of deep ultraviolet (DUV) 193 nm tools, enabling high-volume manufacturing for technology nodes from 90 nm in 2004 (e.g., TSMC's early adoption) to as small as 7 nm in 2018, thus sustaining by delaying the widespread adoption of more complex (EUV) lithography. As of 2025, it remains essential for DUV applications in sub-3 nm nodes alongside EUV. Key technical advancements include the development of immersion hoods to contain the fluid during scanning, catadioptric lens designs compatible with water, and optimized photoresists to minimize defects like bubbles or leaching. Milestones encompass the 2006 launch of the XT:1700Fi for 45 nm production (NA 1.2, throughput of 122 wafers per hour) and the 2008 NXT:1950i for 32 nm nodes (over 200 wafers per hour with 2.5 nm overlay accuracy). Modern systems like the NXT:2100i achieve over 6,000 wafers per day and 1 nm overlay, supporting multiple patterning techniques for sub-10 nm features. Burn Jeng Lin's contributions were recognized with the 2009 IEEE Cledo Brunetti Award and the 2013 IEEE Jun-ichi Nishizawa Medal.

Overview

Definition and Principle

Immersion lithography is a technique used in to pattern features on wafers, in which a medium—typically ultrapure deionized —is introduced between the final element of the exposure tool's and the wafer surface coated with . This immersion fluid fills the narrow gap, typically on the order of millimeters, to form a stable that enhances imaging performance. The core principle of immersion lithography stems from fundamental optics, particularly Snell's law of refraction, which governs how light bends when passing between media of different refractive indices: n_1 \sin \theta_1 = n_2 \sin \theta_2, where n is the refractive index and \theta is the angle of incidence or refraction. By replacing air (n \approx 1.00) with a higher-index fluid like water (n \approx 1.44 at 193 nm wavelength), the effective numerical aperture (NA) of the system increases according to the formula \mathrm{NA} = n \sin \theta, where \theta is the half-angle of the maximum cone of light entering the lens. This allows the optics to collect a broader range of diffracted light rays that would otherwise be lost to total internal reflection in dry (air-based) systems, thereby improving the fidelity of the projected image. Compared to conventional dry lithography, immersion lithography extends the resolution limits of 193 nm ArF (argon fluoride) excimer laser sources without requiring a shift to shorter wavelengths, addressing diffraction constraints that limit feature sizes in air-gapped systems. Resolution is quantified by the Rayleigh criterion: R = k_1 \frac{\lambda}{\mathrm{NA}}, where R is the minimum resolvable feature size, \lambda is the exposure wavelength, and k_1 is a process-dependent factor typically between 0.25 and 0.9. The higher NA directly reduces R, enabling sub-45 nm half-pitch features, while also enhancing depth of focus (DOF) proportionally to $1/\mathrm{NA}^2, which provides greater tolerance for wafer topography variations. These improvements allow continued scaling of transistor densities in integrated circuits without fundamental changes to the light source.

Historical Development

The concept of immersion lithography originated in the mid-1980s with proposals from researchers, including a 1982 U.S. (granted 1984) by Takanashi et al. at describing the use of a medium between the projection lens and to enhance in pattern formation. Although early ideas, such as the 1987 proposal by Burn J. Lin at during a presentation at the International Conference on Microcircuit Engineering to extend optical using a higher-refractive-index medium for improving and at 249 nm wavelengths, demonstrated potential, the technique remained largely theoretical amid focus on alternative technologies like electron beam projection and 157 nm . Practical development accelerated in the early , driven by the International Technology Roadmap for Semiconductors (ITRS) projections for sub-90 nm nodes, which highlighted the need for enhancements beyond dry limits. Key milestones began in 2001 with a proof-of-principle report by researchers Switkes and on at 157 nm, which shifted attention to 193 nm wavelengths using as the fluid and inspired companies like (then partnered with ). In 2002, Burn J. Lin proposed adapting specifically for 193 nm lithography during a workshop, prompting . By 2003, experimental demonstrations emerged, including 's TWINSCAN AT:1150i prototype achieving concrete imaging results, and positive feasibility studies presented at the Microlithography Conference. Commercial introduction followed in 2004 with 's XT:1250i (NA=0.85) shipped to and , evolving to high-NA systems by 2006, such as Nikon's NSR-S610C (NA=1.3) and 's XT:1700i (NA=1.2), targeted for 45 nm nodes. Adoption accelerated from 2007 to 2010, with Intel implementing immersion for its 45 nm process in 2008 and extending to 32 nm single patterning by 2010, while TSMC integrated it into 40 nm and 28 nm production lines, enabling scaling without immediate reliance on extreme ultraviolet (EUV) lithography. This progression was propelled by the limitations of dry 193 nm lithography beyond the 65 nm node, where resolution stalled without numerical aperture increases, alongside economic pressures from Moore's Law to maintain cost-effective feature shrinkage. Initial challenges, particularly in fluid handling to prevent contamination and bubbles, were overcome through innovations in liquid supply systems, allowing reliable single-patterning viability down to 38 nm half-pitch as demonstrated in early experiments.

Technical Fundamentals

Immersion Fluid and Numerical Aperture

In immersion lithography, deionized water (DIW) serves as the primary immersion fluid for 193 nm ArF excimer laser systems due to its high optical transparency, with an absorption coefficient below 0.2 cm⁻¹ at this wavelength, enabling efficient light transmission through the fluid layer. The of DIW at 193 nm is approximately 1.44, which significantly enhances the effective compared to air-based systems. Additionally, DIW exhibits excellent compatibility with standard photoresists, minimizing leaching and chemical interactions that could degrade pattern fidelity. Efforts to develop alternative fluids with higher refractive indices, such as derivatives and other hydrocarbons like , have been explored to push beyond the limitations of , potentially achieving indices above 1.6 while maintaining low absorption at 193 nm. However, these candidates face challenges in stability, viscosity, and resist compatibility, and none have achieved widespread for production-scale immersion lithography. The numerical aperture (NA) in immersion lithography is defined as NA = n sin θ, where n is the refractive index of the immersion fluid and θ is the half-angle of the maximum cone of light entering the fluid from the lens. In dry lithography, the NA is limited to below 1.0 (typically around 0.93 for advanced ArF systems) due to the refractive index of air (n ≈ 1). With water immersion (n = 1.44), the effective NA increases proportionally, reaching practical values of 1.35 to 1.44, as the fluid fills the space between the lens and wafer, allowing sin θ to approach 1 without total internal reflection constraints at the lens-fluid interface. This enhancement directly improves resolution according to the Rayleigh criterion, approximated as R ≈ k₁ λ / NA, where λ is the wavelength (193 nm) and k₁ is the process factor (around 0.25 for state-of-the-art immersion processes), enabling half-pitch features down to approximately 38 nm without multiple patterning. Maintaining fluid integrity requires stringent control of dynamics within the thin immersion layer (typically 1-3 mm). DIW purity is critical, with (TOC) levels kept below 1 ppb to prevent refractive index variations and optical haze. Temperature stabilization at 22 ± 0.01°C ensures minimal or index fluctuations during scanning, as even small variations can distort the . The meniscus at the fluid's edge must form a stable, bubble-free interface during wafer motion, achieved through optimized surface tensions and hydrophobic coatings on the wafer backside to avoid that could scatter light and cause printing defects. The theoretical maximum NA for water immersion is approximately 1.44, limited by the fluid's and the sine of the incidence angle. Attempts to exceed this involve catadioptric , which combine refractive and reflective elements to capture wider light cones and mitigate chromatic aberrations, enabling hyper-NA designs up to 1.55 in experimental systems.

Exposure Process and Equipment

The exposure process in immersion lithography commences with wafer preparation, where a 300 mm coated with is loaded into the dual-stage system of the . One stage handles , , and focusing in a dry environment, while the other performs the under conditions. This preparation ensures precise positioning before fluid introduction. fluid, typically , is then dispensed and contained in a local fill configuration via a specialized or showerhead module positioned around the projection optics. This system forms a thin between the final and the surface, with a typical fluid volume of 20-30 ml to maintain optical contact without overflow. Full baths were explored early but abandoned due to throughput limitations; modern local fill systems enable efficient fluid management during operation. Following fill, the scanning occurs in a step-and-scan mode, where the ArF source emits 193 nm radiation through the catadioptric projection with a of 1.35, projecting the pattern onto the field by field. After , the fluid is evacuated through in the assembly, and the undergoes post-exposure bake to initiate resist chemistry. Key equipment in immersion lithography centers on ArF immersion scanners like the TWINSCAN NXT series, which integrate the laser source, illumination , and projection system to achieve resolutions down to 38 nm in dipole illumination modes. The catadioptric projection lens design minimizes aberrations while supporting high-NA imaging, and dual stages facilitate continuous operation by alternating between and preparation tasks for 300 mm wafers. Fluid handling modules, including the immersion hood with integrated nozzles, ensure stable formation and removal, preventing leaks or bubbles during scans. Scanning mechanics rely on synchronized motion of the and stages, with the stage accelerating to speeds up to 500 mm/s to match the reticle stage's faster movement at approximately 2000 mm/s, enabling efficient field exposure over large areas. This , driven by servo motors and interferometric , maintains overlay accuracy below 3 nm, critical for multilayer patterning alignment. Environmental controls are integral, including purging of optical paths to prevent contamination-induced , particle systems removing contaminants larger than 0.05 μm from the supply, and active evaporation rate management via continuous recirculation to avoid drying during extended scans.

Performance and Optimization

Resolution Enhancement

Immersion lithography achieves superior by increasing the (NA) through the use of a high-refractive-index fluid, such as (n ≈ 1.44 at 193 ), which fills the space between the projection lens and the . This allows NA values exceeding 1.0, up to 1.35 or higher, enabling smaller minimum feature sizes via the Rayleigh criterion: (R) = k₁ λ / NA, where λ is the (typically 193 for ArF systems) and k₁ is the process factor. For instance, at the 45 nm node, immersion enables operation at low k₁ values approaching 0.3, compared to k₁ ≈ 0.5 typically required for dry lithography at similar nodes, thus extending optical patterning without aggressive enhancement techniques. A key advantage is the enhanced (DOF), which scales as DOF = k₂ λ / NA², where k₂ is a process-dependent factor (often ≈ 0.5–1.0). In immersion systems, DOF increases by a factor of the fluid's (n ≈ 1.44 for ) relative to dry at the same NA, mitigating the inherent DOF reduction from higher NA while maintaining sharp imaging over thicker resists or . This improvement supports practical gains, such as transitioning from the dry limit of ≈65 nm half-pitch to approximately 38–40 nm half-pitch single-patterning with NA > 1.3, alongside (CD) uniformity better than 2 nm (3σ) across the exposure field for features at 45 nm and below. Imaging quality further benefits from immersion's compatibility with oblique illumination schemes, such as or annular illumination, which enhance for dense patterns and reduce line-edge roughness (LER) to below 3 nm (3σ) at the —critical for controlling variability in performance. These gains stem from the fluid's role in stabilizing the imaging medium, allowing better management of photon shot noise and acid diffusion in chemically amplified resists. However, the higher introduces trade-offs, including increased sensitivity to resist thickness variations, which can cause shifts of several nanometers per micrometer of defocus. This is largely mitigated by the expanded DOF, reaching up to ≈1.0–1.2 μm in water-immersion systems (versus ≈0.7–0.8 μm in at comparable ), providing greater tolerance for non-planar surfaces and thicker films (e.g., 100–200 nm resists).

Throughput Considerations

Throughput in immersion lithography refers to the rate at which can be processed, typically measured in per hour (wph) for 300 mm . For systems targeting the 45 nm node, typical throughput ranges from 200 to 250 wph, enabling high-volume production in semiconductor manufacturing. This metric is calculated as the number of processed divided by the total cycle time, where cycle time encompasses scan exposure duration plus overhead:
\text{Throughput (wph)} = \frac{3600}{\text{scan time per wafer} + \text{overhead per wafer}}
Overhead arises primarily from fluid management operations, such as filling and draining the immersion liquid, which can add 5-10 seconds per exposure field.
Key bottlenecks limiting throughput include fluid handling delays, where filling the local area with water takes approximately 1-2 seconds per field, potentially causing non-fill defects if not optimized. Stage acceleration constraints in dual-wafer-stage also contribute, as rapid positioning between fields must balance speed with to avoid or overlay errors. Additionally, dose requirements, typically 20-40 mJ/cm² for ArF resists, influence scan times, as higher doses extend the illumination period needed for adequate . Optimizations have significantly enhanced productivity, with local fill systems minimizing fluid volume to under 3 mL per exposure, reducing handling times and risks compared to full-wafer concepts. Dual-stage , a hallmark of ASML's TWINSCAN , allow simultaneous wafer alignment and exposure, boosting efficiency. Advanced software enables dynamic dose control, adjusting illumination in to match and shorten times. These advancements have driven throughput from around 150 wph in early tools to over 300 wph in models, such as the NXT:2050i series (295 wph). As of 2025, advanced models like the TWINSCAN NXT:2100i achieve nominal throughputs exceeding 300 wph with overlay accuracy below 1 nm. The economic impact of high throughput is substantial, as it lowers the cost per through increased output per , estimated at $10-16 for a full exposure pass, making immersion lithography more cost-competitive than lower-throughput alternatives by $100-200 per wafer in high-volume fabs. However, this efficiency is sensitive to from fluid-related issues, such as leaks or , which can reduce effective uptime and elevate operational costs if not mitigated.

Challenges

Defects and Contamination

One of the primary challenges in immersion lithography is the formation of defects due to the interaction between the immersion fluid, typically , and the surface. Watermarks, resulting from incomplete drying of fluid residues after , manifest as printable defects exceeding 50 nm in size by causing localized changes in resist and . Bubble-induced defects occur when gas voids in the fluid scatter light, creating dose nonuniformities and voids that degrade resolution. Particle contamination, often from post-softbake (PSB) residues larger than 20 nm or other fluid-borne , can adhere to the , leading to bridging or missing features in the lithographic patterns. Fluid residues left on optical components may also induce lens aberrations, further compromising imaging quality. Contamination in immersion lithography stems from multiple sources, including airborne particles in the environment, chemical from the during exposure, and impurities within the itself. These contaminants elevate overall defect density, with industry targets specifying levels below 0.1 defects per cm² to ensure viable production yields. For context, properties like and purity directly influence susceptibility, though detailed characteristics are addressed elsewhere. To mitigate these issues, protective topcoats or hydrophobic resist coatings are applied to minimize fluid-resist interactions and prevent or residue during post-exposure rinsing. es effectively dislodge and remove particulates from wafer surfaces and equipment components. recycling systems incorporate high-efficiency filtration to maintain ultrapure conditions throughout operation. In-situ monitoring via scatterometry enables real-time assessment of defects and integrity, allowing for immediate adjustments to avoid yield-impacting issues. More recently, as of 2025, efforts are underway to eliminate (PFAS) from photoresists and topcoats to address environmental and concerns, without compromising defect control. Early implementations of immersion lithography suffered from defect densities 4-20% higher than comparable processes, resulting in substantial losses that hindered high-volume . Through ongoing advancements and adherence to standards from organizations like and , combined with the aforementioned mitigations, immersion-specific losses have been significantly reduced, enabling reliable production at advanced nodes.

Polarization Effects

In high numerical aperture (NA > 1.2) immersion lithography systems, the vectorial nature of electromagnetic waves introduces significant effects due to oblique incidence angles at the plane. These effects manifest as differences between transverse electric () and transverse magnetic (TM) polarization modes, where modes maintain coherent across the while TM modes experience shifts and variations, leading to —an inhomogeneous intensity distribution across the . This particularly degrades image contrast for dense line patterns, as the varying components reduce the fidelity of aerial images in high-NA environments. The impacts of these polarization disparities are quantified through vectorial modeling, often employing to decompose and propagate the components from the illuminator through the projection optics to the resist. For features at 45 nm half-pitch, unpolarized illumination results in substantial (CD) variations, with TM-dominated contributions causing up to 25% degradation in image contrast compared to TE-polarized light, thereby limiting and process margins in setups. To mitigate these effects, specialized illumination schemes such as azimuthal or X/Y are employed, typically via custom illuminators that generate symmetric pole distributions (e.g., cross-quadrupole or 6-fold azimuthal patterns). These approaches align the vectors tangentially to feature orientations, enhancing contrast by 20-30% for dense lines and improving without introducing additional aberrations. Simulation tools like PROLITH facilitate prediction and optimization of these configurations by accurately modeling vectorial propagation and pupil interactions in immersion media. Polarization-induced errors also heighten sensitivity to optical aberrations in high-NA systems, where mismatches between and TM components can contribute to overlay shifts on the order of several nanometers, necessitating precise for alignment control.

Advanced Applications

Multiple Patterning Integration

Immersion lithography is integrated with techniques to achieve sub-20 nm features by dividing complex patterns into multiple exposures or self-aligned steps, extending the capabilities of 193 nm ArF light sources beyond single-exposure limits. For the 32-22 nm nodes, double patterning methods such as litho-etch-litho-etch (LELE) and spacer-aligned litho-etch () are employed, where the target pattern is decomposed into two complementary masks using specialized software to minimize conflicts and ensure compatibility with immersion scanners. In LELE, two sequential immersion exposures and etches are performed, requiring precise overlay control to align patterns within 3 nm to avoid bridging or gaps. SALE reduces etch steps by freezing the first resist pattern chemically before the second exposure, lowering process complexity while still demanding two immersion scans per layer. For finer 16-10 nm nodes, triple and quadruple patterning variants build on these, often incorporating self-aligned double patterning (SADP) with exposures to pattern dense lines and spaces. In SADP, a single lithography step defines a sacrificial pattern, followed by conformal spacer deposition (e.g., ) and selective etch to form sidewalls that double the pattern density, enabling pitches as low as 40 nm without additional lithographic alignments. Triple patterning extends this by adding a third exposure or trim step for irregular features, while self-aligned quadruple patterning (SAQP) uses multiple spacer iterations to achieve metal pitches of 40 nm or below, as implemented by for 10 nm interconnects. These spacer-defined processes effectively reduce the process factor to approximately 0.15 by leveraging the uniformity of over lithographic resolution limits. Integration involves 2-4 exposures per layer depending on the technique, with decomposition algorithms optimizing splitting to balance and overlay errors, often achieving (CD) uniformity below 2 nm after corrections. Overlay requirements tighten to under 3 nm across all steps to prevent loss, supported by advanced on hyper-NA (1.35) scanners. This combination extends 193 nm to 7 nm logic nodes, as demonstrated by TSMC's volume production in 2018 using SADP and SAQP for FinFET gates and metals. Despite these advances, challenges include from 2x to 4x due to additional masks, exposures, and etches, alongside CD matching errors of 1-2 nm variation per step that can degrade performance if not compensated. SADP and SAQP mitigate some overlay sensitivities through self-alignment but introduce complexities in spacer uniformity and trim selectivity, limiting their use to regular arrays like while requiring hybrid approaches for .

Current Status and Future Prospects

As of 2025, immersion lithography continues to play a dominant role in , particularly for devices such as and flash at nodes below 10 nm, where it enables high-volume production through techniques. It is widely adopted in 5-7 nm fabrication facilities, including hybrid processes at companies like and , supporting critical layers for logic interconnects and cost-effective scaling. Global production is driven by the overall valued at approximately USD 29.76 billion in 2025. Recent advancements focus on environmental and process , including the of PFAS-free materials for ArF immersion resists and rinses to address regulatory concerns over in . In July 2025, announced the of a PFAS-free negative-type ArF immersion resist, maintaining performance while reducing environmental impact. These innovations, demonstrated in 2025 studies, maintain lithographic performance while reducing environmental impact, with new photoacid generators showing comparable sensitivity and solubility to traditional options. Additionally, of and for exposure optimization has improved yield and throughput in immersion systems, with incorporating agents to correct nanometer-scale errors autonomously. Looking ahead, immersion lithography is expected to persist for cost-sensitive nodes through 2030, complementing the to EUV for leading-edge below 3 nm, as workflows combining both technologies achieve rates exceeding 95% in mature processes. Research and development emphasize greener alternatives, such as low-global-warming-potential fluids beyond traditional water and PFAS-free formulations, alongside AI-driven enhancements to support sustainable scaling in memory and interconnect fabrication. This shift reflects the evolution toward integrated immersion-EUV ecosystems, ensuring economic viability for sub-7 nm production amid rising AI and data center demands.

References

  1. [1]
    How immersion lithography saved Moore’s Law
    ### Summary of Immersion Lithography from ASML Article
  2. [2]
    [PDF] Optical lithography : here is why / Burn J. Lin. - SPIE
    Lin has been pioneering deep-UV lithography since 1975, multilayer resist systems since 1979, simulation of 2D partially coherent imaging since. 1980, exposure- ...
  3. [3]
    T ECHNICAL B RIEFS - IEEE Electron Devices Society
    May 15, 2019 · Immersion lithography, using wa- ter instead of air between lens and wafer, was introduced in 1987 by. Burn Jeng Lin, then at IBM, at the.
  4. [4]
    TWINSCAN: 20 years of lithography innovation
    ### Summary of Immersion Lithography Development in TWINSCAN Systems
  5. [5]
    4. Immersion lithography technology supports leading-edge ... - Nikon
    Immersion lithography uses this principle and achieves a higher resolving power by filling the space between the projection lens and the wafer with purified ...
  6. [6]
    Immersion - Willson Research Group - University of Texas at Austin
    Immersion Lithography Theory. Immersion lithography incorporates a high refractive index liquid into the gap between the final lens element of an exposure ...
  7. [7]
  8. [8]
    Immersion Lithography - SPIE
    ... Rayleigh depth of focus criterion (which assumes we are imaging a small binary pattern of lines and spaces of pitch p) is. Depth of Focus Equation 1. where n ...
  9. [9]
    US4480910A - Pattern forming apparatus - Google Patents
    Nikon Corporation, Cleanup method for optics in immersion lithography supplying cleaning liquid at different times than immersion liquid. US8269944B2 (en) ...
  10. [10]
    Extending optics to 50 nm and beyond with immersion lithography
    Dec 5, 2003 · Numerical imaging simulations demonstrate the capability of immersion lithography ... Takanashi et al., U.S. Patent No. 4,480,910 (filed 1984). 2.
  11. [11]
    [PDF] 2003 EDITION - Semiconductor Industry Association
    The International Technology Roadmap for Semiconductors (ITRS) is the result of a worldwide consensus building process. This document predicts the main trends ...
  12. [12]
    Immersion lithography: Its history, current status, and future prospects
    Aug 9, 2025 · Immersion lithography is a photolithography enhancement technique that places a liquid with a refractive index greater than one between the ...Missing: milestones | Show results with:milestones
  13. [13]
    A Deep Dive into Immersion Lithography Technology
    Oct 26, 2022 · It is an old technique that dates back to the 1840s, when microscoper Giovanni Battista Amici first started using oil and after that water to ...
  14. [14]
    How immersion lithography saved Moore's Law – Stories - ASML
    Aug 2, 2023 · An immersion DUV system has water. Immersion DUV systems differ from dry systems, with the former containing a layer of water between the lens ...The risk of shrink · Three advantages that sped... · Controlling the pesky puddle
  15. [15]
    [PDF] Chronology of Lithography Milestones Atsuhiko Kato
    May 9, 2007 · 2004 - ASML ships first commercial ArF immersion system in industry, XT:1250i. Announcement was made at Semicon Japan, Dec. 2003. 1250i had an ...
  16. [16]
    Performance of a 1.35NA ArF immersion lithography system for 40 ...
    In early 2006, ASML commenced shipment of the first immersion systems for 45nm volume production, featuring an innovative in-line catadioptric lens with a ...Missing: commercial introduction
  17. [17]
    Under Water - SPIE
    Jul 1, 2004 · Until recently, 193-nm lithography was expected to reach its resolution limit with the 65-nm IC device generation, or node.
  18. [18]
    [PDF] RIT demos 38-nm resolutions with 193-nm immersion
    Jan 23, 2004 · Immersion technology could offer better resolution enhancement and higher numerical apertures (NA) over conventional projection lithography. RIT ...Missing: experimental | Show results with:experimental
  19. [19]
    (PDF) Second generation fluids for 193nm immersion lithography
    Aug 9, 2025 · Water is the first generation immersion fluid for 193 nm immersion lithography. With a fluid refractive index of 1.436 and an optical absorbance ...
  20. [20]
    [PDF] High-Index Materials for 193 nm Immersion Lithography | Corning
    Using water as the immersion fluid, 193 nm immersion lithography with numerical aperture (NA) near 1.3 now appears feasible. 1. To push the NA beyond this ...
  21. [21]
    Advances in Resist Technology and Processing XXI | (2004) - SPIE
    In the case of immersion 193nm lithography, water can serve as that liquid. The immersion option raises questions about how photoresists and water interact.
  22. [22]
    Immersion lithography fluids for high NA 193 nm lithography
    Aug 9, 2025 · Therefore, it is essential to find a fluid that is transparent enough (with absorbance less than 0.5mm-1) and has high refractive index (above ...
  23. [23]
    [PDF] Degradation of Hydrocarbon Fluids in the Immersion Lithography at ...
    Recent efforts are underway to exploit higher-index hydrocarbon fluids. This paper evaluates a variety of organic fluids for immersion lithography at 193 nm.
  24. [24]
    Successors of ArF Water-Immersion Lithography - SPIE Digital Library
    Oct 1, 2008 · ArF water-immersion lithography supports a numerical aperture (NA) of 1.35 or slightly higher but cannot reach the theoretical limit of 1.44 NA.
  25. [25]
    [PDF] Immersion Imaging and the New Limits of Optical Lithography
    The story of immersion lithography, and the limit to numerical aperture, begins with. Snell's Law. Light traveling through material 1 with refractive index ...Missing: principle criterion
  26. [26]
    [PDF] 5_2015-ITRS-2.0-Yield-Enhancement.pdf
    The 2015 Yield roadmap maintains a separate line for immersion litho TOC (<1.0 ppb), implying that this may be required as POU definition, while the rest of ...
  27. [27]
    High precision and stability temperature control system for the ...
    Aug 5, 2025 · The system achieves a temperature stability of the immersion liquid within 22±0.01 °C/30 min, and it also has a good characteristics of anti- ...Missing: 22.5 | Show results with:22.5
  28. [28]
    Control of the receding meniscus in immersion lithography
    Dec 1, 2005 · Liquid droplets on the wafer may impact the advancing meniscus during subsequent scans and thereby generate air bubbles in the under lens region ...
  29. [29]
    Immersion lithography with an ultrahigh-NA in-line catadioptric lens ...
    We will present and discuss lithographic performance results, with special attention at low-k1 imaging using high NA and polarized illumination. Overlay, focus ...
  30. [30]
    TWINSCAN NXT:2050i - DUV lithography machines - ASML
    This system features a 1.35 NA 193 nm catadioptric projection lens that can achieve production resolutions down to 40 nm (C-quad) and 38 nm (dipole) and an in- ...
  31. [31]
    US8007986B2 - Immersion lithography fluids - Google Patents
    In immersion lithography, the space between the lens and the substrate is filled with a liquid, referred to herein as an immersion fluid, that has a refractive ...
  32. [32]
    [PDF] Immersion Lithography
    Feb 9, 2022 · The refractive index of water at 193 nm is 1.44, which would effectively decrease its wavelength to 134 nm, indicating a 17% potential.
  33. [33]
    ASML launches TWINSCAN NXT:1950i immersion lithography system
    Dec 3, 2008 · The incredible imaging ability of a 1.35 numerical aperture (NA) lens enables single-patterning imaging at a resolution of 38 nanometers as ...
  34. [34]
    Getting Over Overlay - Semiconductor Engineering
    Jun 18, 2015 · The overlay accuracies for today's 193nm immersion scanners are measured in the single-digit nanometer range.
  35. [35]
    [PDF] Depth of Focus To measure the size of a focus-exposure process win
    Rayleigh Criteria: Resolution​​ The easiest (though not the only) way to derive the Rayleigh res- olution criterion is with the imaging of equal lines and spaces.
  36. [36]
    None
    ### Summary of Immersion Lithography Capabilities
  37. [37]
    TWINSCAN: 20 years of lithography innovation - Stories - ASML
    Aug 18, 2021 · Immersion lithography uses a pool of ultra-pure water between the lens and the wafer to increase the lens's numerical aperture (NA) – a measure ...
  38. [38]
    Chapter 11 LITHOGRAPHY COSTS - SPIE Digital Library
    The overhead time per wafer tOH is the time required to remove a wafer from the chuck, place a new wafer onto the chuck, and align the wafer (on single stage ...
  39. [39]
    ASML introduces the industry's highest NA immersion tool
    Jul 12, 2005 · Additionally, the XT:1700i has the highest throughput currently available, 122 wafers per hour, for the 45 nm node. “The XT:1700i is a milestone ...
  40. [40]
    DUV lithography systems | Products - ASML
    The TWINSCAN NXT:1980Fi is a dual-stage ArF immersion lithography system ... NXT platform for volume 300 mm wafer production at and below 110 nm resolution.TWINSCAN NXT:2050i · TWINSCAN NXT:1980Di · Twinscan nxt:870b
  41. [41]
    SPIE 2021 – ASML DUV and EUV Updates - SemiWiki
    Mar 17, 2021 · Throughput on the new system is 295 wafers per hour (wph). Longer term there are plans for a 330 wph system (see figure 1). ASML is now ...
  42. [42]
    [PDF] The Current Capability and Cost of Semiconductor Photolithography
    Dec 27, 2019 · Immersion lithography at a wavelength of 193 nm has enabled printing ... cost to only about $10-$16 per full-wafer exposure. A fully ...
  43. [43]
    Advanced Processes for 193-nm Immersion Lithography - SPIE
    1 Mechanism of water-mark defects: water droplets cause local resist photosensitivity losses: 6.6. · 2 SEM images of water mark defects: 6.6. · 3 Other evidence ...
  44. [44]
    Non-lensing defects and defect reduction for 193i - SPIE
    Feb 10, 2008 · Bubble defects and water marks are unique to the immersion. Anti-bubble defects, particles, and microbridges are also observed on dry processed ...
  45. [45]
    Watermark defect formation and removal for immersion lithography
    Aug 9, 2025 · In immersion lithography, water drop residue has been identified as the source of watermark defects. Many methods have been studied to ...
  46. [46]
    Immersion lithography: topcoat and resist processes - SPIE
    Sep 27, 2007 · Blob defects are typically composed of topcoat materials re-deposited on the surface during the development and rinse steps. The mechanism of ...
  47. [47]
    193nm immersion lithography: Status and challenges - SPIE
    Mar 22, 2007 · The immersion technique was first introduced by Carl Zeiss in the 1880s to increase the resolving power of the optical microscope. Introduction ...
  48. [48]
    [PDF] Advanced lithographic filtration and contamination control for 14nm ...
    Controls must be in place with respect to filter cleanliness, retention rating, nature of filter media/design, filtration rate, and controlled filtration ...Missing: safety environmental nitrogen purging
  49. [49]
    Metrology, Inspection, and Process Control for Microlithography XXI
    Mar 15, 2007 · ... scatterometry-based ... Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect ...
  50. [50]
    TSMC Says Immersion Lithography Nearly Production Ready
    Feb 22, 2006 · Some wafers have yielded defects as low as three per wafer, or 0.006/cm2. This compares to several hundred thousand defects produced by a ...
  51. [51]
    [PDF] Benefiting from polarization – effects on high-NA imaging
    This paper addresses polarization considerations at critical locations in the optical path of a projection system, namely in the illuminator, at the mask, and ...
  52. [52]
    Polarized Effects in Optical Lithography with High NA Technology
    Aug 6, 2025 · In this paper, the polarization of the numerical aperture is modeled into aerial images by using a vector model as an improved scalar model. In ...Missing: apodization | Show results with:apodization
  53. [53]
    [PDF] An investigation on capabilities of polarization control for immersion ...
    The fundamental resolution limit and depth of focus of immersion lithography are described. The image contrasts for TE polarization, TM polarization, ...<|separator|>
  54. [54]
    The impact of projection lens polarization properties on lithographic ...
    Before polarization was used to enhance imaging, the imaging properties at each field position of the lens could be fully characterized by 2 pupil maps: a phase ...Missing: calculus effects
  55. [55]
    Polarization effects associated with hyper-numerical-aperture (>1 ...
    Aug 7, 2025 · The achievements of immersion lithography include overlay accuracy within 10 nm ... polarization for immersion lithography. Article. Nov 2014 ...
  56. [56]
    Double patterning lithography: double the trouble or double the fun?
    Jul 20, 2009 · Each of the major DPL techniques comes with its own pros and cons (see Table 1). One of the initial efforts was a litho-etch, litho-etch (LELE) ...Missing: SALE | Show results with:SALE
  57. [57]
    Triple Patterning - Semiconductor Engineering
    One multiple patterning technique is called triple patterning. Using today's 193nm immersion lithography, triple patterning may be required for 10nm and beyond.Missing: 16nm | Show results with:16nm
  58. [58]
    The Bumpy Road To 10nm FinFETs - Semiconductor Engineering
    May 21, 2015 · Instead of going down the LELELE route, TSMC is moving to self-aligned quadruple patterning (SAQP) for the metal layers at 10nm. SAQP uses one ...
  59. [59]
    Multi-Patterning Issues At 7nm, 5nm - Semiconductor Engineering
    Nov 28, 2016 · Variations in different masks, alignment problems and the physical limits of immersion add up to serious issues at 7nm and 5nm.
  60. [60]
    7nm Technology - Taiwan Semiconductor Manufacturing
    TSMC's 7nm (N7) technology started volume production in 2018, is fast, and used for mobile and HPC. N7+ uses EUV lithography. 6nm was launched in 2019.
  61. [61]
    Immersion Lithography Machine in the Real World: 5 Uses You'll ...
    Oct 2, 2025 · Outlook for 2025. By 2025, immersion lithography will remain a cornerstone of advanced semiconductor fabrication. Trends include increased ...
  62. [62]
    Lithography Equipment Market Size, Growth and Forecast 2032
    Demand for advanced semiconductors in AI, IoT, and 5G devices is a major driver, pushing investments in EUV and ArF immersion lithography for sub-7nm nodes.
  63. [63]
    Lithography Equipment Market Size, Share | Forecast [2032]
    The global lithography equipment market size was valued at USD 27.66 billion in 2024. The market is projected to grow from USD 29.76 billion in 2025 to USD 55. ...
  64. [64]
    Removing PFAS from semiconductor manufacturing - IMEC
    Apr 22, 2025 · This article explores how we can eliminate PFAS from semiconductor manufacturing, due to environmental and regulatory concerns.
  65. [65]
    PFAS free materials and resist for ArF immersion photolithography
    Apr 22, 2025 · In this study, our newly designed PFAS-free PAGs for ArF immersion resists demonstrated comparable sensitivity and solubility contrast to existing PFAS PAGs.Missing: greener | Show results with:greener
  66. [66]
    ASML Uses AI Agents: 10 Ways to Use AI [In-Depth Analysis] [2025]
    Aug 7, 2025 · ASML uses AI agents to extend lithography leadership—autonomously correcting nanometer errors, maximizing wafer yield, and diagnosing system
  67. [67]
    Chip Industry Week In Review - Semiconductor Engineering
    Sep 26, 2025 · The results, presented at the 2025 SPIE Photomask Technology + EUV Lithography Conference, include a 100% electrical test yield for the 20nm ...
  68. [68]
    A novel energy saving process for PFAS-free ArF immersion ...
    Sep 23, 2025 · In this work, we will show the feasibility of the proposed approach using ArF immersion (ArFi). Lithographic performance obtained with PFAS-free ...Missing: greener fluids
  69. [69]
    Analyzing the Future of Lithography Machine: Key Trends to 2033
    Rating 4.8 (1,980) Jul 9, 2025 · The lithography machine market, currently valued at approximately $26.89 billion in 2025, is projected to experience robust growth, ...