Immersion lithography
Immersion lithography is a photolithography technique used in semiconductor manufacturing to pattern integrated circuits, where a thin layer of liquid—typically ultra-pure water with a refractive index of 1.44—is introduced between the projection lens of the lithography tool and the surface of the silicon wafer to enhance optical resolution.[1][2] This immersion fluid effectively shortens the wavelength of the exposure light (usually 193 nm from an argon fluoride laser) to about 134 nm and enables the numerical aperture (NA) of the lens to exceed 1, up to 1.35, thereby improving feature resolution according to the formula k_1 \lambda / \mathrm{NA} and increasing depth of focus by a factor proportional to \lambda / \mathrm{NA}^2.[2][3] The concept of immersion lithography was first proposed in 1987 by Burn Jeng Lin, then at IBM, during a presentation at the International Conference on Microcircuit Engineering, as a method to extend the capabilities of optical lithography by replacing air with a higher-refractive-index medium.[3] Although initially overlooked, the idea gained traction in the early 2000s as an alternative to the problematic 157 nm fluorine excimer lithography, which faced challenges with optical materials and photoresists.[2][3] ASML, in collaboration with partners like TSMC and ZEISS, developed the first practical immersion systems, with the prototype TWINSCAN AT:1150i demonstrated in 2003 and the production-ready XT:1250i announced in December 2003.[1] Immersion lithography provided critical advantages over dry lithography, including an approximately 30% improvement in resolution and enhanced depth of focus, allowing for the reliable production of features down to 45 nm half-pitch without requiring a shift to shorter wavelengths.[1][2] It extended the viability of deep ultraviolet (DUV) 193 nm tools, enabling high-volume manufacturing for technology nodes from 90 nm in 2004 (e.g., TSMC's early adoption) to as small as 7 nm in 2018, thus sustaining Moore's Law by delaying the widespread adoption of more complex extreme ultraviolet (EUV) lithography. As of 2025, it remains essential for DUV applications in sub-3 nm nodes alongside EUV.[1][4][3][5] Key technical advancements include the development of immersion hoods to contain the fluid during scanning, catadioptric lens designs compatible with water, and optimized photoresists to minimize defects like bubbles or leaching.[1][2] Milestones encompass the 2006 launch of the XT:1700Fi for 45 nm production (NA 1.2, throughput of 122 wafers per hour) and the 2008 NXT:1950i for 32 nm nodes (over 200 wafers per hour with 2.5 nm overlay accuracy).[1][4] Modern systems like the NXT:2100i achieve over 6,000 wafers per day and 1 nm overlay, supporting multiple patterning techniques for sub-10 nm features.[4] Burn Jeng Lin's contributions were recognized with the 2009 IEEE Cledo Brunetti Award and the 2013 IEEE Jun-ichi Nishizawa Medal.[3]Overview
Definition and Principle
Immersion lithography is a photolithography technique used in semiconductor manufacturing to pattern features on silicon wafers, in which a liquid medium—typically ultrapure deionized water—is introduced between the final lens element of the exposure tool's projection optics and the wafer surface coated with photoresist. This immersion fluid fills the narrow gap, typically on the order of millimeters, to form a stable optical path that enhances imaging performance.[6][7] The core principle of immersion lithography stems from fundamental optics, particularly Snell's law of refraction, which governs how light bends when passing between media of different refractive indices: n_1 \sin \theta_1 = n_2 \sin \theta_2, where n is the refractive index and \theta is the angle of incidence or refraction. By replacing air (n \approx 1.00) with a higher-index fluid like water (n \approx 1.44 at 193 nm wavelength), the effective numerical aperture (NA) of the system increases according to the formula \mathrm{NA} = n \sin \theta, where \theta is the half-angle of the maximum cone of light entering the lens. This allows the optics to collect a broader range of diffracted light rays that would otherwise be lost to total internal reflection in dry (air-based) systems, thereby improving the fidelity of the projected image.[8][7] Compared to conventional dry lithography, immersion lithography extends the resolution limits of 193 nm ArF (argon fluoride) excimer laser sources without requiring a shift to shorter wavelengths, addressing diffraction constraints that limit feature sizes in air-gapped systems. Resolution is quantified by the Rayleigh criterion: R = k_1 \frac{\lambda}{\mathrm{NA}}, where R is the minimum resolvable feature size, \lambda is the exposure wavelength, and k_1 is a process-dependent factor typically between 0.25 and 0.9. The higher NA directly reduces R, enabling sub-45 nm half-pitch features, while also enhancing depth of focus (DOF) proportionally to $1/\mathrm{NA}^2, which provides greater tolerance for wafer topography variations. These improvements allow continued scaling of transistor densities in integrated circuits without fundamental changes to the light source.[9][6][8]Historical Development
The concept of immersion lithography originated in the mid-1980s with proposals from Japanese researchers, including a 1982 U.S. patent application (granted 1984) by Takanashi et al. at Hitachi describing the use of a liquid medium between the projection lens and substrate to enhance resolution in pattern formation.[10] Although early ideas, such as the 1987 proposal by Burn J. Lin at IBM during a presentation at the International Conference on Microcircuit Engineering to extend optical lithography using a higher-refractive-index medium for improving resolution and depth of focus at 249 nm wavelengths, demonstrated potential, the technique remained largely theoretical amid focus on alternative technologies like electron beam projection and 157 nm lithography.[11][12] Practical development accelerated in the early 2000s, driven by the International Technology Roadmap for Semiconductors (ITRS) projections for sub-90 nm nodes, which highlighted the need for resolution enhancements beyond dry lithography limits.[13] Key milestones began in 2001 with a proof-of-principle report by MIT researchers Switkes and Rothschild on immersion at 157 nm, which shifted attention to 193 nm wavelengths using water as the immersion fluid and inspired companies like ASML (then partnered with Philips).[14] In 2002, Burn J. Lin proposed adapting immersion specifically for 193 nm lithography during a SEMATECH workshop, prompting rapid prototyping.[15] By 2003, experimental demonstrations emerged, including ASML's TWINSCAN AT:1150i prototype achieving concrete imaging results, and positive feasibility studies presented at the SPIE Microlithography Conference.[1] Commercial introduction followed in 2004 with ASML's XT:1250i (NA=0.85) shipped to IBM and TSMC, evolving to high-NA systems by 2006, such as Nikon's NSR-S610C (NA=1.3) and ASML's XT:1700i (NA=1.2), targeted for 45 nm nodes.[16] Adoption accelerated from 2007 to 2010, with Intel implementing immersion for its 45 nm process in 2008 and extending to 32 nm single patterning by 2010, while TSMC integrated it into 40 nm and 28 nm production lines, enabling scaling without immediate reliance on extreme ultraviolet (EUV) lithography.[17] This progression was propelled by the limitations of dry 193 nm lithography beyond the 65 nm node, where resolution stalled without numerical aperture increases, alongside economic pressures from Moore's Law to maintain cost-effective feature shrinkage.[18] Initial challenges, particularly in fluid handling to prevent contamination and bubbles, were overcome through innovations in liquid supply systems, allowing reliable single-patterning viability down to 38 nm half-pitch as demonstrated in early experiments.[19]Technical Fundamentals
Immersion Fluid and Numerical Aperture
In immersion lithography, deionized water (DIW) serves as the primary immersion fluid for 193 nm ArF excimer laser systems due to its high optical transparency, with an absorption coefficient below 0.2 cm⁻¹ at this wavelength, enabling efficient light transmission through the fluid layer.[20] The refractive index of DIW at 193 nm is approximately 1.44, which significantly enhances the effective numerical aperture compared to air-based systems.[21] Additionally, DIW exhibits excellent compatibility with standard photoresists, minimizing leaching and chemical interactions that could degrade pattern fidelity.[22] Efforts to develop alternative fluids with higher refractive indices, such as cyclohexane derivatives and other hydrocarbons like decalin, have been explored to push beyond the limitations of water, potentially achieving indices above 1.6 while maintaining low absorption at 193 nm.[23] However, these candidates face challenges in stability, viscosity, and resist compatibility, and none have achieved widespread commercialization for production-scale immersion lithography.[24] The numerical aperture (NA) in immersion lithography is defined as NA = n sin θ, where n is the refractive index of the immersion fluid and θ is the half-angle of the maximum cone of light entering the fluid from the lens. In dry lithography, the NA is limited to below 1.0 (typically around 0.93 for advanced ArF systems) due to the refractive index of air (n ≈ 1). With water immersion (n = 1.44), the effective NA increases proportionally, reaching practical values of 1.35 to 1.44, as the fluid fills the space between the lens and wafer, allowing sin θ to approach 1 without total internal reflection constraints at the lens-fluid interface.[25] This enhancement directly improves resolution according to the Rayleigh criterion, approximated as R ≈ k₁ λ / NA, where λ is the wavelength (193 nm) and k₁ is the process factor (around 0.25 for state-of-the-art immersion processes), enabling half-pitch features down to approximately 38 nm without multiple patterning.[26] Maintaining fluid integrity requires stringent control of dynamics within the thin immersion layer (typically 1-3 mm). DIW purity is critical, with total organic carbon (TOC) levels kept below 1 ppb to prevent refractive index variations and optical haze.[27] Temperature stabilization at 22 ± 0.01°C ensures minimal thermal expansion or index fluctuations during scanning, as even small variations can distort the wavefront.[28] The meniscus at the fluid's edge must form a stable, bubble-free interface during wafer motion, achieved through optimized surface tensions and hydrophobic coatings on the wafer backside to avoid air entrainment that could scatter light and cause printing defects.[29] The theoretical maximum NA for water immersion is approximately 1.44, limited by the fluid's refractive index and the sine of the incidence angle. Attempts to exceed this involve catadioptric optics, which combine refractive and reflective elements to capture wider light cones and mitigate chromatic aberrations, enabling hyper-NA designs up to 1.55 in experimental systems.[30]Exposure Process and Equipment
The exposure process in immersion lithography commences with wafer preparation, where a 300 mm wafer coated with photoresist is loaded into the dual-stage system of the scanner. One stage handles alignment, mapping, and focusing in a dry environment, while the other performs the exposure under immersion conditions. This preparation ensures precise positioning before fluid introduction.[31] Immersion fluid, typically ultrapure water, is then dispensed and contained in a local fill configuration via a specialized nozzle or showerhead module positioned around the projection optics. This system forms a thin meniscus between the final lens element and the wafer surface, with a typical fluid volume of 20-30 ml to maintain optical contact without overflow. Full immersion baths were explored early but abandoned due to throughput limitations; modern local fill systems enable efficient fluid management during operation. Following fill, the scanning exposure occurs in a step-and-scan mode, where the ArF excimer laser source emits 193 nm radiation through the catadioptric projection lens with a numerical aperture of 1.35, projecting the reticle pattern onto the wafer field by field. After exposure, the fluid is evacuated through suction in the nozzle assembly, and the wafer undergoes post-exposure bake to initiate resist chemistry.[32][33][34] Key equipment in immersion lithography centers on ArF immersion scanners like the ASML TWINSCAN NXT series, which integrate the laser source, illumination optics, and projection system to achieve resolutions down to 38 nm in dipole illumination modes. The catadioptric projection lens design minimizes aberrations while supporting high-NA imaging, and dual wafer stages facilitate continuous operation by alternating between exposure and preparation tasks for 300 mm wafers. Fluid handling modules, including the immersion hood with integrated nozzles, ensure stable meniscus formation and removal, preventing leaks or bubbles during scans.[31][35] Scanning mechanics rely on synchronized motion of the reticle and wafer stages, with the wafer stage accelerating to speeds up to 500 mm/s to match the reticle stage's faster movement at approximately 2000 mm/s, enabling efficient field exposure over large areas. This synchronization, driven by servo motors and interferometric feedback, maintains overlay accuracy below 3 nm, critical for multilayer patterning alignment. Environmental controls are integral, including nitrogen purging of optical paths to prevent contamination-induced absorption, particle filtration systems removing contaminants larger than 0.05 μm from the fluid supply, and active evaporation rate management via continuous recirculation to avoid meniscus drying during extended scans.[34][36][34]Performance and Optimization
Resolution Enhancement
Immersion lithography achieves superior resolution by increasing the numerical aperture (NA) through the use of a high-refractive-index fluid, such as water (n ≈ 1.44 at 193 nm), which fills the space between the projection lens and the wafer. This allows NA values exceeding 1.0, up to 1.35 or higher, enabling smaller minimum feature sizes via the Rayleigh criterion: resolution (R) = k₁ λ / NA, where λ is the exposure wavelength (typically 193 nm for ArF systems) and k₁ is the process factor. For instance, at the 45 nm node, immersion enables operation at low k₁ values approaching 0.3, compared to k₁ ≈ 0.5 typically required for dry lithography at similar nodes, thus extending optical patterning without aggressive resolution enhancement techniques. A key advantage is the enhanced depth of focus (DOF), which scales as DOF = k₂ λ / NA², where k₂ is a process-dependent factor (often ≈ 0.5–1.0). In immersion systems, DOF increases by a factor of the fluid's refractive index (n ≈ 1.44 for water) relative to dry lithography at the same NA, mitigating the inherent DOF reduction from higher NA while maintaining sharp imaging over thicker resists or topography. This improvement supports practical gains, such as transitioning from the dry lithography limit of ≈65 nm half-pitch to approximately 38–40 nm half-pitch single-patterning with NA > 1.3, alongside critical dimension (CD) uniformity better than 2 nm (3σ) across the exposure field for features at 45 nm and below.[37][38][39] Imaging quality further benefits from immersion's compatibility with oblique illumination schemes, such as dipole or annular illumination, which enhance contrast for dense patterns and reduce line-edge roughness (LER) to below 3 nm (3σ) at the 45 nm node—critical for controlling variability in transistor performance. These gains stem from the fluid's role in stabilizing the imaging medium, allowing better management of photon shot noise and acid diffusion in chemically amplified resists. However, the higher NA introduces trade-offs, including increased sensitivity to resist thickness variations, which can cause CD shifts of several nanometers per micrometer of defocus. This is largely mitigated by the expanded DOF, reaching up to ≈1.0–1.2 μm in water-immersion systems (versus ≈0.7–0.8 μm in dry at comparable NA), providing greater tolerance for non-planar surfaces and thicker films (e.g., 100–200 nm resists).[34]Throughput Considerations
Throughput in immersion lithography refers to the rate at which wafers can be processed, typically measured in wafers per hour (wph) for 300 mm wafers. For systems targeting the 45 nm node, typical throughput ranges from 200 to 250 wph, enabling high-volume production in semiconductor manufacturing.[40] This metric is calculated as the number of wafers processed divided by the total cycle time, where cycle time encompasses scan exposure duration plus overhead:\text{Throughput (wph)} = \frac{3600}{\text{scan time per wafer} + \text{overhead per wafer}}
Overhead arises primarily from fluid management operations, such as filling and draining the immersion liquid, which can add 5-10 seconds per exposure field.[41] Key bottlenecks limiting throughput include fluid handling delays, where filling the local immersion area with water takes approximately 1-2 seconds per field, potentially causing non-fill defects if not optimized. Stage acceleration constraints in dual-wafer-stage scanners also contribute, as rapid positioning between fields must balance speed with precision to avoid vibrations or overlay errors. Additionally, exposure dose requirements, typically 20-40 mJ/cm² for ArF immersion resists, influence scan times, as higher doses extend the illumination period needed for adequate photoresist sensitization.[34][42] Optimizations have significantly enhanced productivity, with local fill systems minimizing fluid volume to under 3 mL per exposure, reducing handling times and contamination risks compared to full-wafer immersion concepts. Dual-stage scanners, a hallmark of ASML's TWINSCAN platform, allow simultaneous wafer alignment and exposure, boosting efficiency. Advanced software enables dynamic dose control, adjusting illumination in real-time to match pattern density and shorten scan times. These advancements have driven throughput from around 150 wph in early 2000s immersion tools to over 300 wph in 2020s models, such as the NXT:2050i series (295 wph). As of 2025, advanced models like the TWINSCAN NXT:2100i achieve nominal throughputs exceeding 300 wph with overlay accuracy below 1 nm.[43][40][44][45] The economic impact of high throughput is substantial, as it lowers the cost per wafer through increased output per tool, estimated at $10-16 for a full exposure pass, making immersion lithography more cost-competitive than lower-throughput alternatives by $100-200 per wafer in high-volume fabs. However, this efficiency is sensitive to downtime from fluid-related issues, such as leaks or contamination, which can reduce effective uptime and elevate operational costs if not mitigated.[46][43]