Fact-checked by Grok 2 weeks ago

ASML Holding


ASML Holding N.V. is a Dutch multinational corporation founded in 1984 as a joint venture between Philips and Advanced Semiconductor Materials International (ASM International), headquartered in Veldhoven, Netherlands. The company develops, manufactures, and services advanced photolithography systems critical for semiconductor production, maintaining a monopoly as the sole commercial supplier of extreme ultraviolet (EUV) lithography machines that enable fabrication of integrated circuits at sub-7-nanometer process nodes.
ASML's equipment powers the production of high-performance chips for leading foundries and integrated device manufacturers such as , , and , underpinning advancements in computing power, , and amid constraints. With over 44,000 employees across more than 60 global locations, the firm reported €7.5 billion in third-quarter net sales for 2025, reflecting robust demand driven by and memory chip expansion. Its technological edge stems from decades of investment in optical systems, vacuum technology, and , positioning ASML as a pivotal chokepoint in the international . Geopolitically, has been embroiled in export control disputes, with the restricting sales of advanced tools to under pressure from U.S. policies aimed at limiting Beijing's access to cutting-edge capabilities, including measures to potentially disable machines in conflict scenarios like a . These restrictions highlight 's strategic importance, as circumvention attempts—such as SMIC's use of older systems for chips—underscore ongoing tensions in global tech rivalry.

Company Overview

Founding and Corporate Structure

ASML Holding N.V. was founded on April 1, 1984, as ASM Lithography, a between Dutch electronics conglomerate Royal Philips Electronics and semiconductor equipment firm Advanced Semiconductor Materials International (). The venture's primary mandate was to commercialize wafer stepper technology for in , building on Philips' research into optical systems and ASMI's expertise in chip production equipment. Initially capitalized with contributions from both parents—Philips providing and ASMI handling manufacturing know-how—the company started operations in , , before relocating its base to nearby . The structure allowed ASML to focus exclusively on while leveraging parental resources; Philips retained a majority stake initially, funding early development amid a nascent for advanced chip-making tools. By the early , ASML had shipped its first commercial systems, such as the PAS 2500 series, prompting a shift toward as grew for dedicated suppliers decoupled from broader electronics firms. Philips gradually divested its holdings, enabling ASML to go public on the exchange in 1995 and transition to a standalone entity, ASML Holding N.V., with full operational and strategic autonomy. This separation aligned with causal pressures in the , where specialized equipment providers outpaced integrated giants in innovation speed and responsiveness. As a (N.V.), adheres to Dutch , featuring a two-tier board structure: a Board of Management responsible for daily operations and strategy execution, chaired by the CEO, and an independent providing oversight, risk monitoring, and approval of major decisions. The , comprising non-executive members, ensures alignment with shareholder interests and regulatory compliance under the Dutch Code. Ownership is dispersed among institutional investors (approximately 54% as of late ), public shareholders, and minimal insider holdings, reflecting its status as a multinational public company also listed on since 1999. This structure supports 's global scale while maintaining Dutch-rooted accountability, with headquarters and primary R&D facilities remaining in .

Leadership and Governance

ASML Holding employs a two-tier governance structure typical of public limited companies (), consisting of a Board of Management responsible for day-to-day operations, , and execution, and a providing independent oversight on business performance, , corporate culture, , and . The appoints and supervises Board of Management members, who serve initial terms of up to four years, renewable subject to (AGM) approval and performance evaluations. This structure emphasizes accountability, transparency, and long-term value creation, with a mandating respect for , ethical business practices, and conflict-of-interest disclosures. Leadership transitioned in April 2024 when Christophe Fouquet succeeded Peter Wennink as President and Chief Executive Officer, with Fouquet also chairing the five-member Board of Management. Fouquet, a national born in 1973 with a master's in physics from Institut Polytechnique de , joined in 2008 after roles at KLA-Tencor and ; he previously served as Chief Business Officer. The Board of Management includes Roger Dassen as Executive Vice President and Chief Financial Officer since 2018 (Dutch, PhD from University of , former partner); Frédéric Schneider-Maunoury as Executive Vice President and Chief Operations Officer since 2010 (, graduate of ); Wayne Allan as Executive Vice President and Chief & Officer since 2023 (American, former executive); and Jim Koonmen as Executive Vice President and Chief Customer Officer since 2024 (American-Irish, graduate, joined in 2007). Terms expire between 2026 and 2028, with the board set to expand to six members in April 2026 upon appointing Marco Pieters as . The nine-member Supervisory Board, chaired by Nils Andersen since 2023 (Danish, born 1958, former CEO of A.P. Møller–Mærsk), comprises independent professionals with expertise in technology, finance, and operations, appointed for four-year terms by shareholders at the AGM. Key members include Vice Chair Terri Kelly (American, former CEO of W.L. Gore & Associates); Birgit Conix (Belgian, former CFO of Sonova); Mark Durcan (American, former CEO of Micron Technology); Warren East (British, former CEO of Rolls-Royce); Alexander Everke (German, former CEO of ams-OSRAM); Karien van Gennip (Dutch, former Minister of Social Affairs, appointed 2025); Jack de Kreij (Dutch, former CFO of Royal Vopak); and An Steegen (Belgian, CEO of Barco). The board operates through committees including Audit (chaired by de Kreij), Remuneration (chaired by Kelly), Selection & Nomination (chaired by Andersen), Technology (chaired by Durcan), and ESG (chaired by Conix), which review financial reporting, executive compensation, succession planning, innovation risks, and sustainability initiatives. Shareholders influence via the AGM, held annually in , where they vote on board appointments, dividends, and major transactions; proposals require a , with institutional investors like those from the , , and holding significant stakes. ASML adheres to the Dutch Corporate Governance Code, promoting diversity (e.g., gender balance targets on boards) and integration, while disclosing details in annual reports—e.g., Fouquet's 2024 base salary at €1.1 million plus performance incentives tied to net sales and metrics. The structure supports ASML's global operations amid geopolitical supply chain pressures, with the ensuring alignment between executive actions and stakeholder interests in innovation and ethical sourcing.

Global Operations and Workforce


ASML's global headquarters is located in , , serving as its primary (R&D) and manufacturing hub within the Brainport Eindhoven region. This site houses the European ASML Global Support Center, the Board of Management, and global functions, accommodating thousands of R&D personnel. Manufacturing operations are concentrated here, focusing on systems assembly and integration, supplemented by specialized facilities such as the site in the United States for optical components like EUV mirrors.
The company maintains over 60 locations across 16 countries and regions, including key sites in the United States (San Jose for software and metrology, San Diego, Chandler, Arizona, and Hillsboro), Asia (Shanghai and Beijing in China, Tokyo in Japan, Hwaseong in South Korea, Hsinchu in Taiwan), and Europe (Berlin in Germany). These encompass R&D centers, customer support offices, training facilities, and distribution hubs; for instance, South Korea hosts five locations with a global distribution center and four training centers to serve major clients like Samsung. ASML operates 16 primary R&D centers globally, with significant presence in the US, Europe, and Asia to support innovation in lithography technologies. ASML employs more than 44,000 full-time equivalents (FTE) as of the third quarter of 2025, reflecting a workforce growth from 42,416 in 2023 driven by expansion in demand. The diverse employee base spans multiple nationalities and supports operations in system development, field service, and , with a heavy emphasis on and technical roles. International expansion includes plans for a new facility in , , announced in early 2025, to enhance regional support amid geopolitical tensions.

Products and Technologies

Lithography Systems Overview

ASML Holding develops and manufactures advanced systems that project circuit patterns from photomasks onto wafers using light, forming the foundational step in fabrication. These systems enable the precise patterning required for integrated circuits, with resolutions down to the nanometer scale, supporting the scaling of transistor densities in line with . ASML's machines integrate optical, mechanical, and computational elements to achieve high throughput and overlay accuracy in high-volume production environments. The core of ASML's lithography portfolio comprises Deep Ultraviolet (DUV) and (EUV) systems. DUV systems employ 193 nm or 248 nm wavelengths, suitable for manufacturing at nodes from 28 nm to 7 nm, often enhanced by techniques that use a layer to improve and . Models such as the TWINSCAN NXT series deliver productivity exceeding 200 wafers per hour for logic and production. EUV lithography systems operate at a 13.5 nm , generated via laser-produced sources, enabling features below 5 nm for cutting-edge applications in logic and . 's NXE systems support high-volume manufacturing, while the newer EXE systems with High (High NA) optics, introduced in 2024, target sub-2 nm nodes by increasing resolution through a 0.55 NA design. is the exclusive provider of commercial EUV systems, critical for advanced nodes used by foundries like and . Complementary technologies include software for optimizing mask patterns and systems for inline inspection, ensuring defect-free patterning across multiple layers. These integrated solutions address the complexities of multi-patterning in DUV and single-exposure capabilities in EUV, maintaining yield in fabs producing billions of s per chip.

Deep Ultraviolet (DUV) Lithography

ASML's deep ultraviolet (DUV) lithography systems employ lasers to generate at wavelengths of 248 using krypton-fluoride (KrF) gas mixtures or 193 using argon-fluoride (ArF) gas mixtures, enabling the projection of patterns onto photoresist-coated wafers for fabrication. These wavelengths allow resolutions down to 80 for KrF systems and 38 for ArF systems in dry configurations, forming the basis for gates, interconnects, and other structures in integrated circuits. The process involves electrical discharge to excite the gas, creating unstable diatomic molecules that decay and emit coherent photons. DUV systems are categorized into dry and immersion variants to address varying resolution and productivity needs. Dry systems, such as the TWINSCAN XT series, expose wafers in air and support both 200 mm and 300 mm formats, targeting cost-sensitive applications like 3D NAND memory patterning where high topography or wafer warpage is common. Immersion lithography, a key advancement pioneered by , introduces a thin layer of deionized between the projection lens and to boost the , increasing numerical aperture to beyond 1.3 and enabling finer features through improved and reduced aberrations. ArF immersion (ArFi) systems, like the TWINSCAN NXT:2050i, achieve dual-stage operation for throughputs exceeding 6,000 wafers per day on 300 mm substrates, with overlay accuracy under 2 nm, making them suitable for high-volume production at advanced nodes. In semiconductor manufacturing, DUV lithography patterns the majority of layers in and devices, from mature nodes above 28 nm to sub-7 nm processes via techniques such as or quadruple to overcome limits. These systems remain essential for non-critical layers in leading-edge fabs, where EUV is reserved for the densest patterns, and for cost-effective scaling in markets like automotive, , and industrial chips. 's DUV portfolio, including upgradable platforms via node enhancement packages, supports sustained productivity gains of up to 5% annually through hardware and software optimizations. Introduced in the late 1990s as ASML shifted from i-line to shorter wavelengths, DUV technologies extended by enabling denser integration before EUV's commercial viability around 2019, with immersion systems shipping commercially from 2007 onward. Despite challenges like increased complexity in multi-patterning, DUV's maturity and lower cost per —relative to EUV—ensure its ongoing dominance in over 80% of steps across global foundries.

Extreme Ultraviolet (EUV) Lithography

Extreme ultraviolet (EUV) employs light at a wavelength of 13.5 nanometers to pattern features at scales below 7 nanometers, enabling continued density scaling in integrated circuits. ASML Holding maintains a as the sole commercial supplier of EUV systems, a position achieved through decades of collaborative R&D with partners including for optics and Cymer for light sources. This technology supplants deep ultraviolet (DUV) methods for leading-edge nodes by reducing diffraction limits, though it requires operation in vacuum due to EUV absorption by air and most materials. The core innovation in ASML's EUV systems is the light source, generated via laser-produced plasma: a high-power CO2 laser pulses vaporize tin droplets at 50,000 per second, emitting EUV photons from the resulting . This delivers up to 500 watts of EUV in production models, a threshold critical for throughput exceeding 200 wafers per hour. consist of multilayer mirrors coated with and , reflecting EUV at near-normal incidence since traditional lenses absorb the . Reflective masks and pellicles mitigate contamination, with systems like the TWINSCAN NXE:3400 series achieving resolutions down to 13 nanometers half-pitch. Development milestones include the first "first light" demonstration in , pilot production tools in , and commercial high-volume manufacturing (HVM) shipments starting in 2018 to customers like . By December 2020, ASML had shipped 100 EUV systems, reaching 127 by end-2021, with approximately 40 units delivered in 2021 alone. Challenges overcame included scaling light source power from initial 10 watts in prototypes to HVM levels, alongside stochastic defect mitigation and dose control for yield. Recent advancements focus on high-numerical-aperture (High-NA) EUV, with NA increasing from 0.33 to 0.55 for sub-2-nanometer nodes; prototypes shipped to in December 2023 after over a of R&D. projects 30% EUV sales growth in 2025, driven by and demand, though scaling to AIE (advanced immersion EUV) faces hurdles in capex intensity and geopolitical export restrictions. EUV's indispensability stems from its role in producing chips for , where alternatives like multi-patterning DUV become uneconomically complex; without it, nodes at 5nm and 3nm would stall industry progress. ASML's systems underpin over 90% of advanced logic capacity, amplifying their leverage amid dependencies.

Immersion Lithography and Variants

, a deep (DUV) technique employing a thin layer of deionized water between the projection lens and the semiconductor wafer, enhances (NA) to achieve higher resolutions than dry lithography by leveraging the liquid's greater than 1. ASML developed this technology to extend patterning capabilities beyond the limits of air-based systems, with the concept originating from engineer Jan Mulkens' proposal in December 2001 during an industry conference. The approach enabled a , improving resolution by approximately 30% compared to prior dry ArF (193 nm) systems, thus sustaining transistor density scaling under without immediate reliance on (EUV) tools. ASML's first immersion prototype, the TWINSCAN AT:1150i, demonstrated viable imaging in autumn 2003, followed by the announcement of the XT:1250i as the inaugural system in December 2003. Volume manufacturing began with the XT:1700Fi in 2006, featuring an NA of 1.2 and throughput of 122 wafers per hour, supporting resolutions down to 40 half-pitch. By 2008, the TWINSCAN NXT:1950i advanced to 32 nodes with dual-stage wafer handling for simultaneous and , boosting productivity to over 6,000 wafers per day in later iterations. These systems, utilizing catadioptric lenses and precise fluid management via hoods, minimized defects like water residue or bubbles, enabling reliable high-volume at nodes from 90 to sub-10 when combined with resolution enhancement techniques. Key modern immersion variants include high-NA configurations, such as the TWINSCAN NXT:2050i with 1.35 , achieving single-exposure resolutions of 40 (C-quadrupole illumination) or 38 (dipole), optimized for 300 mm production at advanced logic and nodes. The NXT:1980Di variant emphasizes for multiple-patterning schemes, supporting sub-3 matched-machine overlay through flexible actuators and dynamic corrections. Another , the NXT:2100i, incorporates enhanced projection-optics adjustments for improved overlay in complex layering. These systems facilitate double or triple patterning—dividing a single mask pattern into multiple exposures—to print features below 20 , as single-exposure limits around 38 necessitate such decomposition for 7 and below without EUV. Immersion platforms remain integral for cost-effective patterning of non-critical layers or where EUV throughput is constrained, with upgrades like the System Node Enhancement Package allowing extension to future nodes via R&D synergies from EUV developments. ASML's tools hold over 90% in ArF immersion, underscoring their role in bridging dry DUV and EUV eras.

Emerging Developments

ASML's High-NA EUV lithography systems represent the primary emerging advancement in technology, featuring a of 0.55 compared to 0.33 in prior low-NA systems, enabling resolution below 8 nm for sub-2 nm logic nodes and denser memory devices. The first High-NA system, the Twinscan EXE:5000, was delivered to in December 2023 for process development, with high-volume manufacturing projected for 2025-2026 to support AI-driven chip scaling. In September 2025, installed the industry's first commercial Twinscan NXE:5200B High-NA EUV system at its South Korean , marking a step toward production integration for advanced . is scheduled to receive two such systems by late 2025 for 2 nm logic and fabrication, underscoring adoption by leading foundries. Research milestones in High-NA EUV include Imec's September 2025 demonstrations of single-patterning viability for both and direct metal etch processes, achieving critical dimensions under 20 nm with reduced stochastics and improved overlay, potentially easing multi-patterning reliance. anticipates sustained EUV demand growth, including 30% for standard EUV in 2025 fueled by and memory, with High-NA positioned to extend amid geopolitical constraints on exports. In parallel, ASML introduced the Twinscan XT:260 in October 2025, a DUV-based scanner optimized for advanced packaging, quadrupling throughput to over 300 wafers per hour for hybrid bonding and fine-pitch interconnects essential to heterogeneous integration in AI accelerators. Looking further, ASML is researching Hyper-NA EUV with apertures exceeding 0.75 for post-2028 nodes, alongside exploratory soft concepts, though commercialization timelines remain uncertain pending ecosystem maturation. These developments reinforce ASML's monopoly in advanced , with no viable competitors yet matching its precision or yield in high-volume settings.

Historical Development

Inception and Early Innovations (1984-1999)

ASML was established on April 1, 1984, as , a between and (), each holding a 50% stake. The venture aimed to commercialize the PAS 2000 stepper, a system developed internally by ' research laboratories since the early 1970s, which used projection alignment for semiconductor patterning. Initially based in , , near facilities, the company began operations with approximately 50 engineers transferred from , focusing on refining the stepper's hydraulic stage for improved precision in exposure. By 1985, ASML had expanded to 100 employees and relocated to a dedicated office and in to accommodate growth. In 1986, the company introduced the PAS 2500 stepper, incorporating advanced alignment technology that enhanced overlay accuracy and laid the groundwork for subsequent machine improvements; this model also marked the start of a strategic partnership with for optics. Expansion into the Asian market followed in 1988 through a with in , coinciding with ASM International selling its stake to , which then fully owned the company. These early steppers represented a shift from contact printing to projection , enabling finer feature sizes in integrated circuits amid the demands of . The 1990s brought further innovations, including the PAS 5500 platform, which improved resolution and productivity for sub-micron features critical to advancing semiconductor densities. In 1995, ASML achieved independence through an initial public offering that raised US$170 million, listing on the Amsterdam Stock Exchange and NASDAQ, with Philips divesting half its shares. By 1996, the introduction of step-and-scan technology in systems like the PAS 5500/300 allowed for higher throughput, processing up to 100 wafers per hour compared to 60 in prior step-and-repeat models, reducing manufacturing costs via continuous scanning rather than static exposures. Toward the decade's end in 1999, ASML began exploring extreme ultraviolet (EUV) lithography precursors and acquired MaskTools to bolster mask technology, while partnering with Applied Materials on SCALPEL electron-beam methods, signaling a pivot toward next-generation resolutions below 100 nm. These developments solidified ASML's position in deep ultraviolet (DUV) systems, outpacing competitors through iterative enhancements in optics, stages, and alignment.

EUV Era and Global Expansion (2000-2019)

In the early 2000s, ASML intensified its focus on (EUV) as a successor to deep ultraviolet (DUV) systems, presenting results from the EUCLIDES program in 2000 and initiating dedicated development in 2001. This era marked a shift toward addressing the limitations of optical for sub-10 nm nodes, requiring innovations in 13.5 nm wavelength light sources, vacuum environments, and multilayer optics. By 2006, ASML shipped initial EUV prototypes to research partners in and the at for testing, achieving the world's first full-field EUV test chips in 2008. ASML's global expansion accelerated through strategic acquisitions and infrastructure investments, with net sales surpassing €1 billion for the first time in 2000, reflecting growing demand for systems amid the boom. The 2001 acquisition of Group bolstered U.S. operations and EUV research capabilities, while the introduction of TWINSCAN dual-stage systems enhanced productivity. In 2009, ASML expanded its campus with 10,000 m² cleanrooms dedicated to EUV development, supporting scaled prototyping. continued to grow, reaching €7.02 billion by 2013, driven by advancements in variants like the 2003 TWINSCAN AT:1150i and subsequent models. EUV commercialization gained momentum in the 2010s, with the shipment of the first TWINSCAN NXE:3100 to in 2010, marking "first " and validating system viability. Key partnerships, including the 2012 Customer Co-Investment Program with , , and , provided over €800 million for accelerated R&D, enabling shipments of second-generation NXE:3300 in 2013 and third-generation NXE:3350 in 2015. The 2013 acquisition of Cymer, a manufacturer, addressed critical output challenges for EUV, while the 2007 purchase of Brion enhanced . By 2016, production-ready NXE:3400 systems saw batch orders from customers, signaling market readiness. Global footprint expanded via customer proximity and service networks in Asia and the U.S., with acquisitions like Hermes Microvision in 2016 strengthening for improvement and Mapper's in 2018 advancing multi-beam electron-beam . Net sales climbed to €11.8 billion in 2019, fueled by EUV adoption and diversified revenue from logic and memory chipmakers. That year, the first EUV-enabled commercial product, the Note10, demonstrated practical viability, culminating two decades of iterative breakthroughs in source power exceeding 250 W and optical precision. ASML's in advanced solidified, with EUV systems shipped to and in 2018, positioning the company as indispensable for 7 nm and below processes.

Recent Milestones and Challenges (2020-Present)

In 2020, ASML accelerated the commercialization of its (EUV) systems amid surging demand for advanced semiconductors, shipping over 30 EUV systems that year despite global disruptions from the . By 2023, the company achieved record annual revenue of €27.6 billion (approximately $29.8 billion), marking a 33.7% increase from , driven primarily by EUV sales to leading chipmakers like , , and . This growth continued into 2025, with first-quarter net sales reaching €7.7 billion and a of 54.0%, reflecting robust AI-driven demand for chips. A key technological milestone was the delivery of the first High-NA EUV system in December 2023, featuring a of 0.55 to enable sub-2nm node production with enhanced resolution and throughput compared to prior low-NA EUV tools. Production remains constrained at 5-6 units annually, each costing around $400 million, with initial deployments for at customers including and , the latter planning integration for 2nm processes to compete with . ASML projects a 30% increase in overall EUV sales for 2025, fueled by and memory chip requirements, positioning the firm to support long-term industry scaling through 2030. ASML has faced significant challenges from escalating U.S.-led export controls on advanced semiconductor equipment to , which intensified from 2020 onward and culminated in updated restrictions in December 2024 prohibiting certain EUV and High-NA shipments. These measures, aimed at curbing 's military and technological advancements, are projected to reduce 's share of ASML's revenue from historical peaks of 20-30% to around 15-20% in 2025, prompting a revised sales outlook of €30-35 billion for the year. In response, firms have stockpiled dual-use deep (DUV) tools ahead of further curbs, though ASML's noted in 2025 that such activity did not materially inflate prior sales figures. Compounding these geopolitical tensions, China's October 2025 restrictions on rare-earth exports—critical for ASML's and components—have introduced risks, potentially delaying shipments despite the company's preemptive stockpiling enabled by long lead times. These factors contributed to a sharp decline in ASML's market valuation, with over $130 billion erased from its share price in the year leading to May 2025, amid broader U.S. uncertainties and alignment with allied policies. Despite these headwinds, ASML maintained a 34% increase in the first half of 2025, underscoring its technological in EUV while navigating a precarious role in the U.S.- technology rivalry.

Financial Performance

Revenue, Profitability, and Growth Metrics

In the third quarter of 2025, ASML Holding reported total net sales of €7.5 billion, a 2% increase from €7.3 billion in the same quarter of 2024, driven primarily by from EUV systems and strong demand for advanced equipment. for the quarter stood at €2.1 billion, reflecting a of 51.6% and an of approximately 33%, supported by efficient cost management amid constraints. For the full year 2025, ASML anticipates total net sales growth of around 15% year-over-year, with a gross margin of approximately 52%, bolstered by expanding AI-related semiconductor demand and installations of high-NA EUV systems. Historically, ASML's revenue has exhibited robust compound annual growth, with net sales rising from €13.9 billion in 2020 to €27.6 billion in 2023, representing a five-year CAGR of about 18.7%. Net income over the same period grew from €3.6 billion to €7.8 billion, yielding an average net profit margin of 28-30%. Profitability metrics underscore ASML's high-margin , with gross margins consistently above 50% in recent years due to the of EUV and limited . The company's reached 32.8% on a trailing twelve-month basis as of September 2025, while the net stood at 29.4%, reflecting effective R&D and in system production.
YearNet Sales (€ billion)Net Income (€ billion)Gross Margin (%)Net Profit Margin (%)
202013.93.648.225.9
202118.65.950.431.7
202221.25.349.225.0
202327.67.850.428.3
2024~30.0 (est.)~8.5 (est.)51.028.5
Data sourced from ASML financial reports; 2024 estimates based on year-to-date trends and guidance. Growth has been uneven, with YoY revenue increases of 34% in 2021 and 30% in 2023, contrasted by a modest 1.3% rise in 2022 due to cyclical downturns, yet long-term expansion is tied to Moore's Law-driven node shrinks and AI compute demands.

Ownership Structure and Shareholders

ASML Holding N.V. operates as a public under Dutch law, with its ordinary shares primarily listed on under the ticker ASML and traded as American Depositary Receipts (ADRs) on the exchange under the same ticker. As of December 31, 2024, the company had 393,283,720 ordinary shares issued, including 546,972 treasury shares, resulting in approximately 393 million . The authorized includes up to 700 million ordinary shares and 700 million cumulative preference shares, each with a nominal value of €0.09; however, no preference shares are issued, and a dedicated (Stichting ASML Preference Shares) holds an option to acquire them as a defensive mechanism against hostile takeovers or undesired changes in control. Ownership is highly dispersed, with no single entity exercising controlling influence, reflecting the company's status as a widely held public entity integrated into major global indices. Institutional investors dominate the shareholder base, collectively accounting for over 50% of outstanding shares based on reported holdings. Insider ownership remains negligible, with members of the Board of Management and Supervisory Board holding a combined 0.01% as of early 2025. The following table lists the major shareholders as reported on February 26, 2025, derived from regulatory filings with the U.S. and the Dutch Authority for the Financial Markets (AFM):
ShareholderShares HeldPercentage of Outstanding Shares
Capital Research and Management Company40,615,83710.33%
31,259,1697.95%
Board of Management and Supervisory Board members (aggregate)43,3140.01%
Subsequent filings indicate minor adjustments, such as holding approximately 4.41% as of September 29, 2025, underscoring the fluid nature of institutional positions amid market dynamics. This structure supports strategic stability, as the preference share option enables the foundation to issue shares to dilute potential activist stakes, a provision activated historically only in defensive scenarios.

Stock Performance and Market Valuation

ASML Holding N.V. shares are primarily listed on under the ticker ASML.AS, with American Depositary Receipts (ADRs) trading on the under ASML. The company has exhibited strong long-term stock appreciation, driven by its dominant position in (EUV) lithography equipment essential for advanced manufacturing. From a low of €6.7 per share on October 8, 2002, to an all-time high of €1,021.8 on July 10, 2024, the stock has delivered compounded annual growth reflecting the expansion of the global chip industry. In recent years, ASML's stock has shown volatility tied to semiconductor cycles and geopolitical tensions, yet outperformed broader indices. Year-to-date as of October 2025, shares returned approximately 50.7%, surpassing the Semiconductor (SOXX) at 35.8%. Over the past decade, ASML has significantly outpaced the Philadelphia Semiconductor Index, benefiting from rising demand for high-end chips amid and growth. The reached a 52-week high of $1,059 in 2024 before moderating, closing around $1,033 on October 25, 2025.
MetricValue (as of October 2025)
Market Capitalization$400 billion USD
Trailing P/E Ratio36.5
Forward P/E Ratio34.0
(5-year)1.9
EV/EBITDA28.1
(TTM)0.7%
ASML trades at a valuation compared to peers, with a price-to-sales ratio of 10.7, justified by its near-monopoly on EUV systems and projected revenue growth to €44-60 billion by 2030. Analysts attribute the elevated multiples to in technology and sustained capital expenditure by customers like and , though risks from export restrictions to could pressure near-term multiples.

Market Position and Industry Ecosystem

Key Customers and Revenue Distribution

ASML's key customers consist primarily of major semiconductor foundries and integrated device manufacturers, with , , and comprising the largest share of its and revenue due to their dependence on ASML's (EUV) and deep ultraviolet (DUV) systems for producing advanced nodes below 7 nm. These three customers historically account for over 70-80% of ASML's business, reflecting high concentration risk tied to their capital expenditure cycles in logic and memory chip production. Other notable clients include and Micron for memory applications, though their volumes are smaller compared to the leading trio. Revenue distribution underscores this customer focus, proxied through geographic sales data since ASML does not publicly disclose per-customer breakdowns. In 2024, total net sales reached €28.3 billion, with dominating at €22.4 billion (approximately 79%), driven by (TSMC's base), (Samsung's operations), and (various foundries like SMIC, though restricted from advanced EUV tools). The contributed €4.5 billion (16%), largely from Intel's fabs, while EMEA generated €1.3 billion (5%).
Region2024 Revenue (€ billion)Share of Total
22.479%
4.516%
EMEA1.35%
This geographic skew aligns with global manufacturing capacity, where hosts over 80% of advanced fabs, amplifying ASML's exposure to regional demand fluctuations and export restrictions. Within , China's €10.2 billion (36% of total) reflects DUV sales to legacy nodes amid U.S.-led curbs on EUV exports, while South Korea's €6.4 billion (23%) and Taiwan's estimated €5-6 billion highlight investments in high-end AI and logic chips by and .

Suppliers and Strategic Partnerships

ASML's systems, particularly (EUV) machines, rely on a specialized global involving thousands of suppliers, with a select few providing critical components through long-term strategic partnerships. The company emphasizes mutual beneficial collaborations with these key partners to ensure technological advancement and supply reliability. A cornerstone supplier is , which manufactures the precision optics and mirrors essential for EUV lithography; this partnership dates to 1997, and ASML acquired a 24.9% stake in Zeiss SMT in 2016 to deepen integration and secure supply. For laser technology, ASML collaborates exclusively with GmbH + Co. KG on CO2 lasers used in EUV light generation, a relationship highlighted by ASML's 2025 Supplier Award to Trumpf for innovations enabling more reliable chip production. ASML internalized light source production by acquiring Cymer, Inc., in 2013, integrating it as a division to control EUV plasma generation technology. Beyond hardware suppliers, ASML pursues strategic alliances for and . In March 2025, it signed a five-year agreement with , a Belgian center, to advance technology, sustainable manufacturing, and holistic solutions in . In September 2025, ASML entered a collaboration with Mistral , leading the French firm's €1.3 billion Series C funding round and partnering to apply for faster development of high-performance systems, aiming to benefit ASML's customers. These partnerships underscore ASML's strategy of leveraging external expertise to maintain leadership in amid complex dependencies.

Competitive Advantages and Barriers to Entry

ASML Holding maintains a dominant position in the semiconductor lithography market through its exclusive capability to produce (EUV) systems, which are essential for manufacturing integrated circuits at nodes of 7 nanometers and below. As the sole commercial supplier of EUV tools, enables the production of advanced chips used in , smartphones, and applications, with no viable alternatives from competitors like Nikon or , who focus on older deep ultraviolet (DUV) technologies. This stems from decades of pioneering development, culminating in the first commercially viable EUV system in 2019 after over 20 years and approximately $10 billion in expenditures. Key competitive advantages include ASML's extensive intellectual property portfolio, comprising over 17,500 patents, including more than 2,000 specific to EUV technology, which protect critical innovations in light source generation, , and wafer patterning. The company's annual R&D investment exceeds $4.5 billion, sustaining a technological lead estimated at 10 years ahead of potential rivals and ensuring continuous improvements like high-numerical-aperture (High-NA) EUV systems capable of sub-2nm resolutions. Additionally, ASML benefits from a highly integrated , with specialized components such as reflective mirrors sourced from partners like , creating interdependencies that are difficult for newcomers to replicate. Barriers to entry are formidable due to the immense capital requirements and technical complexity involved in EUV development; each machine incorporates hundreds of thousands of parts, operates with 13.5-nanometer wavelengths requiring vacuum environments and plasma-based light sources, and demands yields that only ASML has achieved at scale. The overall lithography market sees ASML commanding 80-95% share, with EUV specifically at 100%, reinforced by long customer qualification cycles—typically 2-3 years—and service contracts that lock in revenue streams. Geopolitical factors, including export restrictions on advanced tools, further entrench ASML's position by limiting technology diffusion to entities like Chinese firms attempting domestic alternatives, which remain unproven as of 2025.

Geopolitical and Regulatory Dynamics

Export Controls on Advanced Technologies

ASML's (EUV) systems, essential for manufacturing semiconductors at nodes below 7 nm, have been subject to stringent export restrictions since their development, primarily due to U.S.-led multilateral efforts to prevent proliferation of technologies with dual-use potential in military applications. The , as ASML's home country, has aligned its policies with U.S. export controls under frameworks like the , requiring government licenses for sales of EUV tools to entities in , effectively barring such exports since at least 2019. In June 2023, the Dutch government announced tightened controls on advanced deep ultraviolet (DUV) equipment, including ASML's systems used for nodes above 7 nm but critical for 's ambitions, with the measures taking effect on September 1, 2023; these required export licenses for sales to , impacting tools like the NXT:2050i and NXT:2100i. U.S. influence was pivotal, as coordinated with allies including the and to expand restrictions beyond EUV to include supporting technologies like and software, aiming to hinder 's progress toward indigenous advanced chip production. Further updates in 2024 saw the government retake authority over export licensing for two specific ASML DUV tools previously under U.S. jurisdiction, while U.S. regulations expanded in December 2024 to cover additional -related technologies, with compliance deadlines extending to December 31, 2024. China's Ministry of Commerce expressed dissatisfaction with these expansions in September 2024, viewing them as discriminatory barriers to . By early , the modified its dual-use export regime to exclude most non-EUV sales to from automatic scrutiny, though EUV remained fully licensed, while announcing further tightenings effective April 1, , on advanced manufacturing equipment. These controls have materially affected ASML's revenue, with China—once accounting for nearly 50% of bookings—facing reduced access to advanced systems; ASML's 2025 outlook projected a sales impact within its €30-35 billion range, attributing declines to U.S.-driven curbs rather than broader market weakness. ASML's CEO has advocated for a more "rational" EU-U.S. dialogue on such measures, highlighting their role in escalating trade frictions without fully stemming 's self-reliance efforts in alternatives. Despite compliance, ASML maintains stockpiles to mitigate risks from reciprocal Chinese restrictions on rare-earth materials.

US-China Trade Tensions and Impacts

The escalation of - trade tensions, particularly through -led export controls on technologies, has significantly constrained 's ability to sell advanced systems to Chinese customers. Since 2019, the has imposed restrictions preventing from exporting its (EUV) machines to , citing concerns over potential military applications of advanced chips. These measures were extended through government alignment, with the revoking export licenses for EUV systems to effective January 2024 following pressure. In 2023, the Dutch government introduced further export controls on ASML's deep (DUV) equipment, effective September 1, 2023, prohibiting sales of certain mid-range and high-end DUV systems to starting in 2024. This built on prior designations targeting firms like SMIC, ASML's key customer in the region, and culminated in tightened regulations in September 2024 that aligned Dutch policy more closely with American restrictions to limit 's access to sub-7nm fabrication capabilities. By December 2024, updated rules delayed some compliance to year-end but reinforced curbs on tools enabling advanced nodes, with ASML confirming no direct 2024 impact but anticipating contained effects within its €30-35 billion 2025 sales outlook. These restrictions have directly reduced ASML's revenue exposure to , which peaked at nearly 50% of system sales in mid-2023 but fell to 27% in Q1 2025 amid license revocations. ASML projects to account for around 20-25% of total net sales in 2025, down from higher pre-restriction levels, with a sharper decline expected in 2026 as remaining DUV approvals lapse. Despite strong Q3 2025 orders from at 42% of total machine bookings—driven by preemptive DUV demand—the company has faced over €130 billion in market value erosion since early 2024 due to uncertainties and export barriers. Countermeasures from , including October 2025 restrictions on rare earth exports critical for ASML's , have introduced reciprocal supply risks, though ASML's stated the firm is "well prepared" with stockpiles and long lead times mitigating short-term disruptions. Overall, while ASML maintains compliance to preserve access to partnerships, the tensions underscore its vulnerability as a chokepoint in global production, prompting diversification efforts but limiting growth in China's expanding domestic fabs.

Company Strategies and Risk Mitigation

ASML employs a long-term growth strategy centered on advancing (EUV) technology to sustain its leadership in manufacturing equipment, targeting annual net sales of €44 billion to €60 billion by 2030 with gross margins of 56% to 60%. This approach leverages exponential demand from , data centers, and , supported by €4.3 billion in expenditures in 2024 to enhance system productivity, such as the TWINSCAN NXE:3800E model's 35% output increase. Strategic acquisitions, including Cymer in 2013 for light sources and HMI in 2016 for , bolster and innovation capabilities. The company mitigates operational risks through an (ERM) framework, which integrates top-down strategic assessments with bottom-up process-level evaluations to identify and prioritize threats via an updated "risk universe" informed by macroeconomic, regulatory, and internal data. Overseen by the Risk and Business Assurance team reporting to the , this system addresses categories like disruptions and technological obsolescence, with regular to align with business objectives. To counter supply chain vulnerabilities, including dependence on key suppliers like for , ASML pursues a "single sourcing, dual competence" model, fostering deep collaborations while building redundant expertise and expanding capacity across 5,150 suppliers distributed regionally—1,600 in the , 1,400 in and , and 750 in other European, Middle Eastern, and African areas. This diversification, combined with ongoing monitoring for disruptions such as shortages or cyberattacks, optimizes without fully eliminating single-source dependencies, as evidenced by efforts to enhance logistics and supplier innovation partnerships. Geopolitical risks, particularly U.S.-China trade restrictions and Dutch effective in 2024, are managed via strict compliance protocols, including an Export Control Council, mandatory employee training, and rigorous to prevent unauthorized shipments—such as halting advanced EUV sales to while limiting dual-use DUV systems like the TWINSCAN NXT:1970i/1980i under new September 2024 rules. ASML engages governments to highlight interdependencies, conducts scenario analyses for sanctions (e.g., on and ), and maintains stockpiles to buffer against rare earth export curbs from , ensuring 2025 impacts remain within forecasted outlooks despite 36.1% of 2024 net sales deriving from . Customer concentration risks, with 54.1% of 2024 receivables from three primary clients, are indirectly addressed through broad investments and refurbished system offerings to expand .

Innovations and Technological Impact

Key R&D Achievements and Patents

ASML's research and development efforts have primarily focused on advancing photolithography technologies, with a pivotal achievement being the commercialization of (EUV) systems, which enable the production of integrated circuits at feature sizes below 7 nanometers. Over 17 years, the company invested more than €6 billion in EUV R&D, culminating in the introduction of the NXE:3400 system in 2016 as the first production-ready EUV tool ordered in batches by customers. To accelerate progress in EUV light source technology, ASML acquired Cymer, a specialist in the field, integrating its expertise into the development pipeline. Earlier milestones include the 1998 launch of ASML's first argon fluoride (ArF) step-and-scan lithography tool, PAS 5500/900, which supported deep ultraviolet (DUV) processes for sub-193 nm wavelengths, and the commercialization of in the mid-2000s, enhancing resolution through liquid-mediated optics. More recently, ASML has pioneered high (High-NA) EUV systems, with prototypes achieving 0.55 NA for denser chip patterning, shipped to customers like by 2024 for testing toward 2 nm nodes. ASML maintains an extensive intellectual property portfolio, holding 33,311 patents globally as of recent analyses, with 19,791 granted and over 50% active, predominantly covering innovations in apparatus, optical systems, and process control methods critical to . Key patents protect EUV-specific technologies, such as plasma-based light sources and catadioptric , forming by securing proprietary advancements in enhancement and overlay . This portfolio, built from foundational work dating back to alignment systems in the through precursors to , underpins the company's in advanced equipment.

Contributions to Semiconductor Advancement

ASML Holding has significantly advanced manufacturing through its development of (EUV) systems, which enable the production of integrated circuits at feature sizes below 7 nanometers. EUV uses light at a of 13.5 nanometers to pattern intricate structures, overcoming limitations of traditional deep ultraviolet (DUV) methods that struggled to scale beyond 10 nanometers without excessive complexity. This innovation, commercialized by ASML after decades of research beginning in the 1990s, has allowed leading chipmakers like and to achieve 5-nanometer and 3-nanometer process nodes, directly supporting the continuation of density increases predicted by . Prior to EUV, ASML contributed to scaling through , introduced in the early , which enhanced resolution by immersing the wafer in water during exposure, extending the viability of 193-nanometer DUV light. The first commercial immersion systems were shipped around 2004, enabling TSMC's 90-nanometer production and subsequent nodes down to 10 nanometers. Additionally, the TWINSCAN platform, launched in 2001 with dual-stage alignment for improved throughput and overlay accuracy, became the foundation for high-volume manufacturing, processing over a million wafers daily across the industry. These advancements reduced manufacturing costs per and improved yield, facilitating the proliferation of advanced logic and chips essential for computing, mobile devices, and AI applications. In 2010, ASML shipped the first prototype EUV tool, the TWINSCAN NXE:3100, marking a pivotal step toward high-volume EUV production. By 2016, production-ready NXE:3400 systems entered batch orders, with full commercial deployment by 2019 enabling sub-7-nanometer fabrication. EUV's shorter and higher have simplified chip designs by reducing the need for multi-patterning techniques, yielding up to 40% more transistors per wafer and accelerating innovation in . ASML's recent High-NA EUV systems, with the first EXE:5000 module shipped to in 2024, promise resolutions down to 8 nanometers, potentially extending into the 2-nanometer era and beyond. ASML's lithography innovations have also spurred ecosystem-wide progress, including advancements in photoresists, , and software, which optimize pattern fidelity and correct distortions at atomic scales. By maintaining a near-monopoly in EUV —stemming from exclusive access to critical components like reflective mirrors from —the company has centralized R&D efforts, amassing thousands of patents that protect core optical and light-source . This has not only driven semiconductor performance gains but also economic value, with EUV-equipped fabs producing chips that power over 90% of advanced processors as of 2023.

Awards and Industry Recognition

ASML has garnered significant industry recognition for its pioneering role in (EUV) and manufacturing equipment. In 2018, the company received the IEEE Spectrum Emerging Technology Award for developing its EUV system, which enables the production of advanced integrated circuits at smaller nodes. In 2020, was honored with the SEMI Americas Award at SEMICON West for assembling an industry consortium that drove the commercialization of EUV technology, addressing longstanding challenges in light source power and to make high-volume manufacturing feasible. Leadership at has also been individually recognized; in 2016, President Martin van den Brink was awarded the Semiconductor Industry Association's Robert N. Noyce Award, the sector's highest honor, for exceptional contributions to advancing lithography capabilities and sustaining through sustained R&D investment. Technical teams within ASML have earned accolades for specific innovations, such as the 2021 Berthold Leibinger Innovation Prize awarded to three engineers—Yezheng Tao, Alex Schafgans, and Bob Rollinger—for breakthroughs in EUV light source generation, including tin droplet stabilization and efficiency, which improved source power output critical for sub-7nm chip fabrication.

Controversies and Criticisms

Monopoly Concerns and Antitrust Scrutiny

ASML Holding maintains a dominant position in the (EUV) market, holding 100% of the global supply for EUV systems as of 2023, which are essential for producing semiconductors at nodes below 7 nanometers. Overall, ASML commands approximately 80-90% of the broader equipment market, far outpacing competitors Nikon and , whose shares are limited primarily to older (DUV) technologies. This near- arises from ASML's technological lead, developed through over two decades of R&D investment exceeding €10 billion cumulatively by 2023, in collaboration with specialized suppliers like for optics and Cymer for light sources, creating insurmountable barriers for entrants. Concerns over ASML's market dominance center on vulnerabilities and potential pricing power, as the relies almost entirely on ASML's machines for advanced chip production, with annual output capped at around 50 EUV tools. Industry analysts have noted that this concentration could enable ASML to exert influence over global chip fabrication costs, particularly amid surging demand for and chips, though ASML's gross margins have stabilized around 50% without evidence of exploitative pricing. Critics, including some in online forums and investment discussions, question the absence of antitrust challenges, attributing it to ASML's "earned " status—stemming from innovation rather than —and geopolitical alignment with Western controls that limit rivals' access to . Despite these concerns, ASML has faced no major antitrust investigations or lawsuits from regulators in the or as of October 2025. Historical disputes, such as ASML's 2002 countersuit against Nikon alleging antitrust violations and in , were resolved without broader regulatory intervention and pertained to specific IP conflicts rather than market dominance. Speculation about future scrutiny, particularly from amid US-led export restrictions on ASML's DUV systems to Chinese firms, remains hypothetical, with no formal probes initiated; instead, has targeted entities like under its anti-monopoly law without implicating ASML directly. ASML's compliance with Dutch and export regimes, which prioritize over competition policy, further shields it from traditional antitrust actions.

Geopolitical Dependencies and Supply Risks

ASML's systems, particularly (EUV) machines, depend on a highly specialized global supply chain involving components from multiple countries, exposing the company to geopolitical disruptions. Critical suppliers include in for precision optics and mirrors, in for CO2 lasers, and ASML's own subsidiary Cymer (acquired from the in 2013) for EUV light sources, creating interdependencies that span and . This configuration, while enabling technological leadership, renders ASML vulnerable to export controls, trade barriers, or conflicts affecting these nations, as no single country possesses the full for EUV production. United States-China trade tensions pose acute risks, as ASML adheres to U.S.-led export restrictions prohibiting EUV sales to since 2019, limiting revenue from a market that accounted for €10.2 billion in net sales (primarily deep ultraviolet systems) in 2024. 's dominance in rare earth elements, essential for magnets in equipment, intensified these vulnerabilities when imposed export curbs in October 2025, leading to shipment delays and higher costs for ASML's EUV systems. Such actions highlight 's leverage over global inputs, potentially disrupting ASML's production timelines given the scarcity of alternative suppliers. Exposure to Taiwan, home to major customer TSMC—which received €4.3 billion in ASML sales in 2024—amplifies risks from cross-strait tensions, as any conflict could halt operations at fabrication plants reliant on ASML machines. To mitigate invasion scenarios, ASML and TSMC incorporated remote disablement capabilities into EUV systems by May 2024, allowing shutdowns to prevent , though this underscores the precarious balance between commercial ties and security imperatives. Broader supply concentration in few geopolitically sensitive nodes, including potential disruptions from European regulatory shifts or U.S. policy changes, positions ASML as a chokepoint in the ecosystem, where delays in components like optics could cascade into industry-wide shortages.

Criticisms from Affected Markets

China's Ministry of Commerce expressed dissatisfaction with the Dutch government's expansion of export controls on ASML's chipmaking in 2024, describing the measures as aligned with U.S. restrictions intended to limit 's access to advanced technology. These controls, effective from mid-2025, prohibit ASML from servicing certain deep (DUV) systems already installed in , affecting critical for producing chips at nodes above 7 nanometers. Industry analysts and sources within China's semiconductor sector have highlighted the severe operational disruptions caused by these servicing bans, noting that many Chinese foundries rely on ASML's DUV machines for legacy production while pursuing domestic alternatives like those from Shanghai Micro Electronics Equipment (SMEE). The restrictions exacerbate existing challenges for firms such as Semiconductor Manufacturing International Corporation (SMIC), which have faced delays in scaling production due to limited maintenance support and the absence of extreme ultraviolet (EUV) systems, unavailable to China since 2019 under prior U.S.-influenced bans. Reports from October 2025 revealed attempts by unidentified entities to reverse-engineer 's DUV machines, resulting in disassembly failures that prompted requests for technical assistance from itself, illustrating the proprietary complexities and technological dependencies hindering independent replication efforts. Such incidents underscore criticisms from affected market participants that 's compliance with regimes entrenches a technological , compelling accelerated but uneven investments in indigenous development amid risks of equipment and production inefficiencies.

References

  1. [1]
    Our history | ASML - Supplying the semiconductor industry
    ASML's history is rich with innovation and collaboration. Read our history to learn how we've grown into the semiconductor industry leader we are today.
  2. [2]
    ASML's founding story: our roots in the semiconductor industry
    Apr 3, 2024 · ASML was established as ASM Lithography on April 1, 1984. The joint venture from Philips and ASM International had a mandate: to commercialize a wafer stepper.Industrial Policy, Investors... · Engineering Urgency · The Start Of Asml
  3. [3]
    EUV lithography systems – Products - ASML
    Providing high resolution in high-volume manufacturing, ASML's extreme ultraviolet (EUV) lithography systems are pushing Moore's Law forward.Mass Producing Leading-Edge... · Exe Systems · Nxe SystemsMissing: market | Show results with:market<|separator|>
  4. [4]
    Extreme Ultraviolet Lithography Market Size & Share Report, 2034
    ASML holds over 90% global market share in EUV lithography; Q4 2024 bookings included €3.0 billion for EUV systems. Expansion of Foundry and IDM Capex in ...
  5. [5]
    The Evolution Of ASML: From Niche Player To Global ... - Seat11a
    Oct 1, 2024 · As a key supplier to major semiconductor manufacturers such as Intel, Samsung, and TSMC, ASML's technology is at the heart of innovations in ...
  6. [6]
    The Business Model and Revenue Streams of ASML Explained
    Mar 20, 2024 · ASML's key customers include major players in the semiconductor industry, such as Intel, Samsung, and TSMC. Key Components of ASML's ...Missing: Holding | Show results with:Holding
  7. [7]
  8. [8]
    ASML reports €7.5 billion total net sales and €2.1 billion net income ...
    Oct 15, 2025 · EUV lithography ... In the third quarter, we purchased around €148 million worth of shares under the current 2022–2025 share buyback program.
  9. [9]
    ASML China Export Curbs Too Late, Yesilgoz-Zegerius Says
    Nov 2, 2023 · The Netherlands should have acted quicker to limit the export of ASML Holding NV's high-tech chipmaking machines to China, according to ...
  10. [10]
    ASML and TSMC Can Disable Chip Machines If China Invades ...
    May 21, 2024 · ASML Holding NV and Taiwan Semiconductor Manufacturing Co. have ways to disable the world's most sophisticated chipmaking machines in the ...<|control11|><|separator|>
  11. [11]
    Controversial Chip in Huawei Phone Was Produced on ASML ...
    Oct 25, 2023 · China's Semiconductor Manufacturing International Corp. used equipment from ASML Holding NV to manufacture an advanced processor for a ...
  12. [12]
    ASML Holding N.V. (ASML) Company Profile & Facts - Yahoo Finance
    ASML Holding NV provides lithography solutions for the development, production, marketing, sales, upgrading, and servicing of advanced semiconductor equipment ...
  13. [13]
    History of ASML Holding N.V. – FundingUniverse
    Established in The Netherlands in 1984, ASML was originally a shared venture between Dutch companies Royal Philips Electronics and Advanced Semiconductor ...
  14. [14]
    ASML Holding - Companies History
    Mar 12, 2024 · ASML Holding was founded on April 1, 1984 and is headquartered in Veldhoven, Netherlands.“ “ASML Holding History. 2006-present. 2012 – A year of ...
  15. [15]
    Corporate governance | ASML - Supplying the semiconductor industry
    ASML has a two-tier board structure with a board of management responsible for managing the company under the supervision of an independent supervisory board.Governance Structure · Shareholders · View Articles Of Association
  16. [16]
    With 54% ownership of the shares, ASML Holding N.V. (AMS:ASML ...
    Dec 31, 2022 · With 54% ownership of the shares, ASML Holding N.V. (AMS:ASML) is heavily dominated by institutional owners · What Does The Institutional ...
  17. [17]
    ASML shareholders | Supplying the semiconductor industry
    Shareholders play an important role in ASML's governance. Next to the Board of Management and the Supervisory Board, shareholders participate in our general ...Shareholder Meetings · Asml Shares · Investor Days
  18. [18]
    Board of Management | ASML - Supplying the semiconductor industry
    ASML's Board of Management has five members. The CEO is the sole president and serves as chair. The Supervisory Board appoints the members of the Board of ...
  19. [19]
    Christophe Fouquet, ASML's new CEO – Stories
    Apr 25, 2024 · Christophe Fouquet became ASML's CEO on April 25, 2024. He started at ASML in 2008, and was Chief Business Officer in 2022.Missing: current | Show results with:current
  20. [20]
    ASML appoints next Chief Technology Officer
    Oct 9, 2025 · Marco Pieters appointed ASML Chief Technology Officer · ASML Supervisory Board intends to reappoint CFO Roger Dassen and COO Frédéric Schneider- ...<|separator|>
  21. [21]
    Supervisory Board | ASML - Supplying the semiconductor industry
    ASML's Supervisory Board is composed of nine members. Nils Andersen became the Supervisory Board's chair in 2023. Members of the Supervisory Board are appointed ...
  22. [22]
    ASML Headquarters - Veldhoven, Netherlands
    ASML's global headquarters is in Veldhoven, Netherlands, located in the Brainport Eindhoven region, and is their biggest R&D and manufacturing site. Address: ...
  23. [23]
    About ASML | Supplying the semiconductor industry
    In 1984, when ASML was founded as a joint venture between Philips and ASM International, the name 'Advanced Semiconductor Materials Lithography' was chosen and ...History · ASML at a glance · ASML Wilton CT - Locations · Locations
  24. [24]
    Locations | ASML - Supplying the semiconductor industry
    ASML has 60 locations across 16 countries and regions worldwide, including the Netherlands, the United States, China, and more.ASML Wilton CT · ASML Silicon Valley · San Diego, California · Chandler, Arizona
  25. [25]
    All ASML Office Locations - Glassdoor
    Rating 4.1 (4,048) ASML has offices in North America (Chandler, San Diego, San Jose, Wilton, Hillsboro), Asia (Shanghai, Tokyo, Hwaseong, Hsinchu), and Europe (Berlin, Veldhoven).<|separator|>
  26. [26]
    ASML | The world's supplier to the semiconductor industry
    ASML gives the world's leading chipmakers the power to mass produce patterns on silicon, helping to make computer chips smaller, faster and greener.About ASML · Company · ASML at a glance · Careers
  27. [27]
    Why ASML is the most important tech company you've never heard of
    Oct 5, 2022 · ASML has 16 primary R&D centres across the US, Europe and Asia. The largest R&D and manufacturing centre is located at the company's ...
  28. [28]
    ASML will open Beijing facility despite US sanctions on China
    Mar 10, 2025 · Chipmaking tool biz ASML plans to open a new facility in China this year amid rising trade tensions between Washington and Beijing.
  29. [29]
    Lithography principles - Technology - ASML
    ASML's lithography systems are central to that process. A lithography (more formally known as 'photolithography') system is essentially a projection system.
  30. [30]
    Our technology - Supplying the semiconductor industry - ASML
    ASML uses lithography, which uses light to print patterns on silicon, and combines hardware and software for mass production. The process uses a mask to ...Lithography principles · How microchips are made · Mechanics & mechatronics
  31. [31]
    DUV lithography systems | Products - ASML
    ASML's DUV lithography systems use deep UV to print microchip features. They include immersion systems for high-volume and dry systems for cost-effective, less ...TWINSCAN NXT:2050i · TWINSCAN NXT:1980Di · Twinscan nxt:870b
  32. [32]
    5 things you should know about High NA EUV lithography - ASML
    Jan 25, 2024 · High NA EUV is the next step in our constant pursuit of shrink. Like NXE systems, it uses EUV light to print tiny features on silicon wafers.
  33. [33]
    ASML products & services | Supplying the semiconductor industry
    Our products · EUV lithography systems · DUV lithography systems · Refurbished systems · Metrology & inspection systems · Computational lithography · Customer support.EUV lithography systems · DUV lithography systems · Computational lithography
  34. [34]
    Light & lasers - Lithography principles - ASML
    From visible blue light to invisible extreme UV light, ASML's lithography machines keep innovation in light and lasers moving forward.Mercury Lamps: From Blue To... · Lasers And Duv · Krf: The Duv Dawn
  35. [35]
    ASML: A Mispriced Gem In The Booming Semiconductor Industry
    Oct 9, 2025 · ASML Holding N.V. stands out as the sole supplier of EUV lithography machines, granting it dominance in advanced semiconductor manufacturing ...
  36. [36]
    The Physics of EUV Lithography - by Bharath Ramsundar
    Jan 29, 2021 · At its core, EUV lithography begins with the generation of light at 13.5 nanometers. This light is focused by multiple mirrors, bounced off an ...
  37. [37]
    How ASML Is Redefining Technology, One Nanometer at a Time
    Jan 16, 2025 · While EUV machines are ASML's most advanced offering, the company also produces deep ultraviolet (DUV) lithography machines, which operate at ...
  38. [38]
    Making EUV: from lab to fab – Stories - ASML
    Mar 30, 2022 · Learn about the pivotal moments that happened on the way to launching ASML's first EUV system, as well as a glimpse of what's next.The Dawn Of Euv · Toward Industrialization · Achieving 'first Light'
  39. [39]
    Lenses & mirrors - Lithography principles - ASML
    The complex optical systems in ASML's lithography machines reduce the image to be printed on a wafer to the nanometer level.Numerical Aperture · Complexity Brings Control · Euv Needs Mirrors
  40. [40]
    EUV Lithography in Semiconductor Manufacturing | ASML
    Feb 21, 2022 · Hofman: In 2021, ASML shipped a total of 286 lithography systems, which included around 40 EUV systems. Currently there is a semiconductor ...<|separator|>
  41. [41]
    ASML Sees 30% EUV Growth in 2025: Is Demand Sustainable ...
    Aug 26, 2025 · ASML Holding expects EUV sales to surge 30% in 2025 on AI and memory demand, but macro risks cloud the outlook for 2026.Missing: advancements 2020-2025
  42. [42]
    ASML: The EUV Lithography Giant Navigating Challenges
    Dec 11, 2024 · Holding a near-monopoly in Extreme Ultraviolet (EUV) lithography, ASML is the sole supplier of EUV machines, which are critical for producing ...
  43. [43]
    ASML: Architecting Earth's Most Complex Machines - Quartr
    Sep 27, 2024 · Dominating its niche: Today, ASML holds a monopoly on the most advanced lithography equipment and has a market cap of over €300 billion, making ...
  44. [44]
    How immersion lithography saved Moore's Law – Stories - ASML
    Aug 2, 2023 · The system's record-breaking imaging performance enabled chipmakers to improve resolution by 30%, the largest improvement in decades, while ...The risk of shrink · Three advantages that sped... · Controlling the pesky puddleMissing: details | Show results with:details
  45. [45]
    TWINSCAN NXT:2050i - DUV lithography machines - ASML
    The TWINSCAN NXT:2050i is a high-productivity, dual-stage immersion lithography tool designed for volume production of 300 mm wafers at advanced nodes.Missing: models wavelengths
  46. [46]
    ASML ships new TWINSCAN NXT immersion lithography platform
    Sep 29, 2015 · ASML shipped its first TWINSCAN NXT:1980Di immersion lithography system to support increasingly demanding multiple-patterning performanceMissing: variants | Show results with:variants
  47. [47]
    TWINSCAN NXT:2100i - DUV lithography machines - ASML
    TWINSCAN NXT:2100i. The dual-stage immersion lithography system is equipped with a new projection-optics adjustment system that improves overlay.
  48. [48]
    Nikon aims to challenge ASML's dominance in ArF immersion
    Feb 19, 2025 · ASML currently claims roughly 90 percent of the ArFi market. According to Nikon's data, a little under a hundred of the world's most advanced ...
  49. [49]
    ASML and SK hynix assemble industry-first 'commercial' High-NA ...
    Sep 3, 2025 · SK hynix and ASML early on Wednesday announced that they had assembled the industry's first Twinscan NXE:5200B High-NA EUV lithography ...
  50. [50]
    Samsung to Receive Two ASML High-NA EUV Lithography ...
    Oct 16, 2025 · Samsung is advancing its semiconductor manufacturing capabilities with the upcoming installation of two High-NA EUV lithography systems from ...
  51. [51]
    Imec achieves new milestones in single patterning High NA EUV
    Sep 22, 2025 · Imec achieves new milestones in single patterning High NA EUV lithography for both damascene and direct metal etch metallization processes. The ...
  52. [52]
    ASML Sees 30% EUV Growth in 2025 - Yahoo Finance
    Aug 26, 2025 · ASML Holding expects EUV sales to surge 30% in 2025 on AI and memory demand, but macro risks cloud the outlook for 2026.
  53. [53]
    ASML sees continued growth in EUV demand, confident in High NA ...
    Oct 16, 2025 · ASML remains optimistic about the outlook for its extreme ultraviolet (EUV) lithography systems, including the next-generation High ...
  54. [54]
  55. [55]
  56. [56]
    ASML and the Unrivalled Future of Semiconductor Lithography
    Mar 17, 2025 · ASML's dominance lies in its EUV lithography systems, highly sophisticated machines that etch complex circuitry onto silicon wafers using extreme ultraviolet ...<|separator|>
  57. [57]
    ASML’s founding story: our roots in the semiconductor industry | ASML
    ### Summary of ASML's Founding and Early Years (1984–1999)
  58. [58]
    Our history - Supplying the semiconductor industry
    ### Summary of ASML's History (1984–1999)
  59. [59]
    ASML (ASML) - Revenue - Companies Market Cap
    ASML's current revenue (TTM) is $35.39 Billion USD. In 2024, the revenue was $30.36 Billion USD, and in 2023, it was $29.92 Billion USD.
  60. [60]
    Highlights - Annual Report 2019 - ASML
    2019 was a year of growth for ASML with €11.8 billion in net sales, setting another record for us. Major innovation drivers such as artificial intelligence, 5G ...Missing: Holding | Show results with:Holding
  61. [61]
    ASML Holding Revenue 2011-2025 - Macrotrends
    ASML Holding annual revenue for 2023 was $29.827B, a 33.7% increase from 2022. ASML Holding annual revenue for 2022 was $22.308B, a 1.32% increase from 2021.Price Ratios · 30 Year Stock Price History · Financials · EPS - Earnings Per Share
  62. [62]
    ASML reports €7.7 billion total net sales and €2.4 billion net income ...
    Apr 16, 2025 · With this press release, ASML is publishing a video interview in which CEO Christophe Fouquet and CFO Roger Dassen discuss the 2025 first- ...Missing: leadership | Show results with:leadership
  63. [63]
    [News] Intel Rumored to Purchase more ASML High-NA EUV ...
    Oct 2, 2025 · These funds significantly strengthened Intel's cash flow and capital expenditure capacity, enabling it to secure initial High-NA EUV production ...Missing: developments | Show results with:developments
  64. [64]
    Samsung to buy ASML's most advanced lithography tools to rival ...
    Oct 15, 2025 · Samsung to buy ASML's most advanced lithography tools to rival TSMC. Samsung is expected to deploy high NA EUV machines at its 2 nm foundry ...
  65. [65]
    ASML expects impact of updated export restrictions to fall within ...
    Dec 2, 2024 · ASML expects impact of updated export restrictions to fall within outlook for 2025. Today, the US authorities published an updated version of ...
  66. [66]
    ASML 2025 outlook shows US chip export curbs impacting China ...
    Oct 16, 2024 · Now, ASML is expecting a drop-off in sales to China as a result of U.S. trade restrictions. The firm expects China to return to taking up a ...
  67. [67]
    Why ASML Holding (ASML) is Skyrocketing in 2025? - Yahoo Finance
    Jan 13, 2025 · ASML Holding (NASDAQ:ASML) also revised its longer-term outlook for 2025, saying it expects total net sales that year to land between €30 billion and €35 ...Missing: 2020-2025 | Show results with:2020-2025
  68. [68]
    ASML plays down Chinese tool stockpiling, impact of rare earth ...
    Oct 15, 2025 · They said it is clear Chinese firms have been buying tools in excess of the country's needs to get ahead of further controls.Missing: challenges | Show results with:challenges
  69. [69]
    ASML 'Well Prepared' for Chinese Rare-Earth Curbs, CFO Says
    Oct 15, 2025 · ASML Holding NV is “well prepared” for China's curbs on exports of rare earths even as the impact on the wider chips ecosystem is difficult ...
  70. [70]
    ASML is prepared for China's rare-earth export controls
    Oct 15, 2025 · ASML European think tank considers punitive DUV machine export ban following China's latest round of rare earth export controls · TSMC Taiwan ...
  71. [71]
    ASML shares: China and tariffs have wiped off $130 billion of value
    May 28, 2025 · More than $130 billion of value has been wiped off of ASML in under a year amid restrictions on exports to China and US tariff uncertainty.Missing: 2020-2025 | Show results with:2020-2025
  72. [72]
    ASML's uneasy role in the tech war: What 3 years inside the Dutch ...
    Aug 18, 2025 · The United States has steadily ratcheted up export controls on semiconductor technology to China, with its ban on ASML's extreme ultraviolet ...
  73. [73]
    ASML Holding N.V. (ASML) Valuation Measures & Financial Statistics
    Fiscal Year. Fiscal Year Ends, 12/31/2024. Most Recent Quarter (mrq), 9/28/2025 ; Profitability. Profit Margin, 29.38%. Operating Margin (ttm), 32.84% ...
  74. [74]
    Financial overview - ASML
    ASML's financial overview includes annual and quarterly key figures, consolidated statements, and data on total net sales, gross profit, and net income.
  75. [75]
    ASML Holding NV ADR Key Metrics - Morningstar
    Review the current ASML Holding NV ADR (ASML:XNAS) growth, profitability and ... Revenue Growth %. 26.41%, 2.56%, 30.16%, 13.77%, 33.14%, 18.26%. Gross Profit.
  76. [76]
    ASML shareholders
    ### Summary of Shareholder Information from ASML Governance Page
  77. [77]
    Shares - Investors
    ### Share Structure
  78. [78]
    ASML HOLDING NV-NY REG SHS (ASML) Stock Ownership and ...
    Float, 393.19M ; Float Short Interest Percentage, 0.64% ; Owners (insider), 0.02% ; Owners (institutional), 50.81% ; Owners (insider) change, N/A.
  79. [79]
    Who Owns ASML Holding? ASML Shareholders - Investing.com
    Discover who owns ASML Holding. Access the ownership overview, view the top ASML Holding shareholders, institutional holders, and leading mutual fund investors.
  80. [80]
    ASML Stock Price and Chart — EURONEXT:ASML - TradingView
    ASML reached its all-time high on Jul 10, 2024 with the price of 1,021.8 EUR, and its all-time low was 6.7 EUR and was reached on Oct 8, 2002. View more price ...ASML Holding NV financials · Forecasts · Financials · Technical analysisMissing: history | Show results with:history
  81. [81]
    ASML Holding - 30 Year Stock Price History - Macrotrends
    The all-time high ASML Holding stock closing price was 1086.22 on July 10, 2024. The ASML Holding 52-week high stock price is 1059.00, which is 2.5% above the ...Missing: key milestones
  82. [82]
    ASML vs. SOXX — Investment Comparison Tool - PortfoliosLab
    In the year-to-date period, ASML achieves a 50.66% return, which is significantly higher than SOXX's 35.82% return. Over the past 10 years, ASML has ...
  83. [83]
    ASML Holding NV Share Price Today - US Stocks - Appreciate Wealth
    Current price of ASML Holding NV stock is $1033.1 as on 25 Oct, 2025. ... Current market capitalisation of ASML Holding NV ADR is $402.3B. How much has ...
  84. [84]
    ASML Holding (ASML) Statistics & Valuation - Stock Analysis
    The stock's EV/EBITDA ratio is 28.14, with an EV/FCF ratio of 38.40. EV / Earnings, 36.16. EV / Sales, 10.62. EV / EBITDA, 28.14.
  85. [85]
    ASML Holding N.V. (ASML) Stock Price, News, Quote & History
    Find the latest ASML Holding N.V. (ASML) stock quote, history, news and other vital information to help you with your stock trading and investing.Missing: milestones | Show results with:milestones
  86. [86]
    Why ASML and TSMC Are the Chokepoints in Global Chipmaking
    May 18, 2025 · ASML is an undeniable chokepoint in the supply chain. Foundries like TSMC, Intel, and Samsung rely entirely on ASML's machines to produce their most advanced ...
  87. [87]
    Why This Dutch Giant Just Became the Most Critical AI Play of 2025
    Oct 17, 2025 · Customer Concentration. Heavy dependence on TSMC, Samsung, and Intel creates revenue volatility tied to their capital expenditure cycles. Yet ...
  88. [88]
    Sometimes it's that easy: ASML : r/ValueInvesting - Reddit
    Aug 5, 2025 · Despite short-term headwinds, ASML estimates revenue between €44 and €60 billion and gross margins of 56–60% by 2030. If we take the low end of ...<|control11|><|separator|>
  89. [89]
    ASML: The Semiconductor King Powering the AI Revolution
    May 3, 2025 · ASML's revenue hinges on the capital expenditure (capex) of its key customers—TSMC, Samsung, Intel, and smaller players like SK Hynix and Micron ...
  90. [90]
    2024 Annual Report - ASML
    "Innovation is the heartbeat of our company." Christophe Fouquet. President, Chief Executive Officer and Chair of the Board of Management. Read the CEO message.Missing: current leadership
  91. [91]
    ASML Global Sales Revenue by Key Markets (2004–2024) - Voronoi
    May 28, 2025 · In 2024, ASML's global sales revenue reached €28.3 billion, driven by strong demand in key markets: China (€10.2 billion, 36.1%), South Korea (€6.4 billion, 22 ...
  92. [92]
    ASML reports €28.3 billion total net sales and €7.6 billion net ...
    Jan 29, 2025 · With this press release, ASML is publishing a video interview in which CEO Christophe Fouquet and CFO Roger Dassen discuss the 2024 fourth- ...Missing: leadership | Show results with:leadership<|separator|>
  93. [93]
    ASML Holding (ASML) Revenue Breakdown Overview - Moomoo
    By Country/Region ; China10.2 · % ; South Korea6.41 · % ; United States4.52 · % ; Taiwan4.35 · % ; EMEA1.32 · %.
  94. [94]
    Responsible value chain | ASML
    We build long-term and mutual beneficial partnerships with strategic, critical suppliers, like our partnership with Carl Zeiss SMT. ... ASML and our suppliers ...
  95. [95]
    Markets and Partners | ZEISS SMT
    ZEISS SMT partners with ASML, a strategic partner since 1997, and has over 1,200 partners and suppliers, including a European development alliance.Missing: Cymer | Show results with:Cymer
  96. [96]
    The final chip challenge: Can China build its own ASML? - Nikkei Asia
    Jul 16, 2025 · ASML acquired Cymer in 2013 and took a nearly 25% stake in Carl Zeiss SMT, a subsidiary of Zeiss making advanced optics, in 2016.
  97. [97]
  98. [98]
    ASML: A Monopoly on Magic - The Generalist
    Jul 30, 2023 · Instead, it relies on several third-party suppliers, including Zeiss Group, Trumpf, Cymer, and many others. For ASML's EUV machines, for ...
  99. [99]
    ASML and imec sign strategic partnership agreement to support ...
    Mar 11, 2025 · ASML and imec sign strategic partnership agreement to support semiconductor research and sustainable innovation in Europe. Press release - ...
  100. [100]
    ASML, Mistral AI enter strategic partnership
    Sep 9, 2025 · ASML to lead Mistral AI's Series C funding round investing €1.3 billion. Today, leading semiconductor equipment manufacturer ASML Holding NV ( ...
  101. [101]
    ASML: Valuing The Gatekeeper Of Moore's Law - Seeking Alpha
    Aug 27, 2025 · ASML holds a near-monopoly in EUV lithography, underpinning the most advanced semiconductor manufacturing and creating a formidable competitive ...<|control11|><|separator|>
  102. [102]
    ASML Monopoly in Semiconductor — where is magic? - THE WAVES
    Mar 22, 2022 · After a 20-year-long, highly uncertain R&D journey, at a cost of $10 billion, ASML emerged as a monopoly in sub-10nm lithography by unveiling ...
  103. [103]
    The Most Important Company You've Never Heard Of
    Jan 30, 2025 · Patents: ASML has 17,500 patents. These protect their inventions and keep others from copying them. High entry costs: Making EUV machines took ...
  104. [104]
    ASML Deep Dive - Is The Moat As Strong As We Think?
    Feb 5, 2025 · The majority of my competitive advantage section was focused on EUV lithography, however, ~56% of ASML's machine sales revenue are still ...
  105. [105]
    ASML Holding N.V. - Fitch Ratings
    Aug 8, 2024 · Multibillion-euro investments into R&D over the last 30 years create high barriers for entry and lead to strong industry concentration, with ...<|separator|>
  106. [106]
    ASML deep dive: The most important company in the world is on sale
    Dec 20, 2024 · Firstly, ASML's market share in the lithography market is about 95%. Secondly, ASML is the only producer of EUV systems. Why are the barriers to ...
  107. [107]
    ASML: Why This 27% Undervalued Wide-Moat Stock Is a Buy for ...
    Dec 9, 2024 · ASML is the world's largest supplier of photolithography machines for semiconductors with around 90% market share. It enjoys a wide technology ...
  108. [108]
    Balancing the Ledger: Export Controls on U.S. Chip Technology to ...
    Feb 21, 2024 · The Dutch decision to block exports of ASML's most advanced extreme ultraviolet (EUV) lithography tools should, in principle, foreclose China's ...
  109. [109]
    Statement regarding export control regulations Dutch government
    Jun 30, 2023 · The new Dutch export control regulations will come into effect on September 1, 2023. ASML can start submitting export license applications before that date.<|separator|>
  110. [110]
    Why ASML is the EU's most Important Bargaining Chip | IEP@BU
    May 22, 2024 · The Dutch government decided in June 2023 that because of the booster technologies ASML could also no longer export their most advanced DUV- ...
  111. [111]
    Dutch government retakes export control over two ASML tools from US
    Sep 6, 2024 · Under U.S. pressure, the Dutch government has never allowed ASML to ship its very best EUV tools to Chinese customers, while it began requiring ...
  112. [112]
    Collateral Damage: The Domestic Impact of U.S. Semiconductor ...
    Jul 9, 2024 · The April 2024 report by the New York Fed determined that the announcement of the semiconductor export controls on October 7, 2022, was followed ...
  113. [113]
    ASML statement on updated US export restrictions
    Dec 2, 2024 · These regulations will become effective immediately with a delayed compliance date of December 31, 2024 for some of the changes. We are ...
  114. [114]
    China says "dissatisfied" with new Dutch export controls on ASML ...
    Sep 7, 2024 · China is dissatisfied with the Dutch goverment's decision to expand export controls on ASML (ASML.AS) chipmaking equipment, the Chinese commerce ministry said.
  115. [115]
    Dutch government excludes most ASML sales to China from 'dual ...
    Jan 17, 2025 · Dutch government excludes most ASML sales to China from 'dual use' export ... Its most advanced EUV tools had always required an export licence.
  116. [116]
    Klever: export controls on advanced semiconductor manufacturing ...
    Jan 15, 2025 · On 1 April 2025 the Netherlands will modify its national export control measure for advanced semiconductor manufacturing equipment.
  117. [117]
    ASML chief calls for more 'rational debate' on US export controls to ...
    Oct 22, 2024 · ASML's chief executive called on EU leaders to have a more "sophisticated" discussion about US-imposed export controls on chip machines to ...<|separator|>
  118. [118]
    ASML halts hi-tech chip-making exports to China reportedly after US ...
    Jan 2, 2024 · A Dutch manufacturer has cancelled shipments of hi-tech microchip machinery to China after pressure from the US government, it has been reported.
  119. [119]
    DUV Demand Powers ASML Holding in China: Will Export Curbs ...
    Jul 10, 2025 · Strong demand for DUV lithography systems is driving sales in China, but export curbs and trade tensions threaten future momentum.
  120. [120]
    How ASML, a Key Supplier to the Chip Industry, Is Navigating ...
    Jun 9, 2025 · After trade restrictions, the company expects sales to China to fall to about 25 percent of its annual revenue from nearly half in the second ...
  121. [121]
    ASML warns of delays as China restricts rare earth exports | Ctech
    Oct 12, 2025 · ASML is reportedly preparing for significant disruption given the need to obtain export licenses for products containing these materials.Missing: Holding challenges 2020-2025
  122. [122]
    ASML financial strategy - Investors
    ### Summary of ASML's Financial and Growth Strategies
  123. [123]
    [PDF] Strategic report - ASML Brand Portal
    These risks and uncertainties include, without limitation, those described under the section entitled “How we manage risk – Risk factors”. These forward-looking.
  124. [124]
    How ASML manages risk
    ### Summary of ASML's Risk Management Framework
  125. [125]
    [PDF] Chronology of Lithography Milestones Atsuhiko Kato
    May 9, 2007 · 1997 - Canon ships the industry's first 300mm KrF stepper, FPA-3000EX3L. 1998 - ASML develops their first ArF step-and-scan tool, PAS 5500/900.
  126. [126]
    ASML Holding Patents - Insights & Stats (Updated 2024)
    ASML Holding has a total of 33311 patents globally, out of which 19791 have been granted. Of these 33311 patents, more than 50% patents are active.
  127. [127]
    ASML — The Unbreakable Moat in the Semiconductor Industry
    Oct 16, 2024 · ASML holds an extensive portfolio of patents related to EUV and other lithography technologies, which makes it nearly impossible for ...Missing: major | Show results with:major
  128. [128]
    [PDF] Chapter 2 1984|1988 - Chip History
    Feb 22, 1984 · The oldest lithography-related patent on record at ASML relates to an alignment system and dates back to January 1971. The first patent ...
  129. [129]
    How ASML revived Moore's Law and remade chipmaking with the ...
    until ASML made it a reality, with the help of cloud and AI.<|control11|><|separator|>
  130. [130]
    Inside the machine that saved Moore's Law | MIT Technology Review
    Oct 27, 2021 · EUV technology also enables simpler designs, which lets chipmakers move faster and produce more chips per wafer, resulting in cost savings ...
  131. [131]
    TWINSCAN: 20 years of lithography innovation - Stories - ASML
    Aug 18, 2021 · TWINSCAN was the first – and is still the only – lithography system platform with two complete wafer table modules (or wafer stages).
  132. [132]
    Moore's Law | ASML - Supplying the semiconductor industry
    Moore's Law, observed in 1965, states that the number of transistors on a microchip doubles every two years, and it has propelled the semiconductor industry.
  133. [133]
    2018 IEEE Honors: IEEE Spectrum Emerging Technology Award
    May 30, 2018 · ASML, a Dutch company and currently the largest supplier in the world of photolithography systems for the semiconductor industry, ...
  134. [134]
    ASML wins SEMI Americas Award for EUV lithography - Stories
    Jul 20, 2020 · ASML received the SEMI Americas Award for its collaborative approach to extreme ultraviolet lithography (EUV), helping it become commercially viable.Missing: Holding | Show results with:Holding
  135. [135]
    ASML Wins SEMI Americas Award for EUV Technology at Virtual ...
    Jul 20, 2020 · The SEMI Americas Award recognizes technology developments with a major impact on the semiconductor industry and the world. Virtual West Banner.
  136. [136]
    ASML President Martin van den Brink to Receive Semiconductor ...
    Jun 29, 2016 · SIA presents the Noyce Award annually in recognition of a leader who has made outstanding contributions to the semiconductor industry in ...
  137. [137]
    EUV light source engineers win Leibinger international award - ASML
    Oct 22, 2021 · Three ASML employees who recieved the Leibinger Innovation Prize for the efforts in EUV light source. Left to right: Yezheng Tao, Alex Schafgans ...
  138. [138]
    EUV Lithography Market Size, Growth Drivers & Industry Forecast ...
    Jun 26, 2025 · By end-user type, foundries held 53.3% of the EUV lithography market share in 2024, while IDMs are forecast to advance at a 14.1% CAGR to 2030.
  139. [139]
    ASML: Still Dominant In The Lithography Market - Seeking Alpha
    Oct 24, 2023 · According to our market share chart above, ASML dominates the lithography market with an 82.9% market share followed by Canon and Nikon. Its ...
  140. [140]
    ASML: A Fundamentally Undervalued Monopoly At The Heart Of ...
    Aug 21, 2025 · In my opinion, the company's absolute monopoly in EUV lithography ensures its total dominance for the next 5–10 years. The recent decline in the ...
  141. [141]
    I am always wondering why ASML hasn't got any antitrust lawsuits ...
    Feb 13, 2024 · The answer is it's a state sanctioned monopoly which concentrates control of advanced technological processes in the hands of NATO.
  142. [142]
    ASML accuses Nikon of antitrust violations, patent infringement in ...
    ASML Holding NV today announced it has filed a counter suit against Nikon Corp. for US patent infringement in photolithography technology.
  143. [143]
    Nvidia Hit With China Probe in Global Tech War Escalation
    Dec 9, 2024 · The Biden administration pressured the Dutch government to prevent ASML Holding NV, which has a monopoly on machines that make the most advanced ...
  144. [144]
    ASML Earnings "Miss": What the Market Got Wrong - TradingView
    Jul 22, 2025 · Typically monopolistic operations are subject to regulatory scrutiny although the beauty of ASML is, this really is not the case, there is no ...
  145. [145]
    ASML: One Of The Strongest Buys Due To Its Huge Monopoly
    Dec 8, 2024 · The important thing to understand here is that Trumpf and ASML are partners, and Trumpf will only supply this to ASML. Further, Zeiss is another ...
  146. [146]
    How ASML became chipmaking's biggest monopoly - The Economist
    Feb 29, 2020 · The light source comes from Cymer, an American company bought by ASML in 2013. ASML is, in turn, one of hundreds of firms that supply the ...
  147. [147]
    ASML Deep Dive: The Indispensable Enabler of Moore's Law
    Sep 1, 2025 · ArF Immersion (ArFi): This is the most advanced and highest-value DUV technology, used to pattern critical layers on many advanced chips.
  148. [148]
    ASML says decoupling chip supply chain is practically impossible
    Jun 22, 2023 · The secret to ASML's success, according to Fouquet, is its longtime collaboration with critical global suppliers such as Zeiss and Cymer and the ...
  149. [149]
    ASML's Supply Chain, Bill of Materials, and the Devastating Effects ...
    Apr 24, 2025 · This strategy has yielded a resilient yet intricate supply chain that underpins ASML's monopoly in EUV. However, it also exposes ASML to any ...
  150. [150]
    Etching Out Influence: Exploring ASML as a Strategic Asset in EU ...
    Sep 17, 2025 · It also touches on using ASML to counter economic coercion through strategic export controls under the Anti-Coercion Instrument, pointing out ...
  151. [151]
    ASML, Other Semiconductor Firms Brace for Fallout From China's ...
    Oct 10, 2025 · Takeaways by Bloomberg AI​​ China's restrictions may lead to delays in shipments for companies such as ASML Holding NV, and US chip companies are ...
  152. [152]
    China's Rare Earth Constraints and ASML's Lithography Dilemma
    Oct 15, 2025 · - China's rare earth export restrictions are disrupting ASML's EUV lithography supply chain, causing shipment delays and global semiconductor ...
  153. [153]
    Restructuring the Global Semiconductor Supply Chain: Trends ...
    Aug 15, 2025 · Geopolitical risks, such as trade disputes, export bans, and conflicts, exacerbate supply concentration risks. Some materials have few ...
  154. [154]
    ASML adds remote kill switch to TSMC's EUV machines in case ...
    May 22, 2024 · ASML adds remote kill switch to TSMC's EUV machines in case China invades Taiwan - report. Company has been running simulations to assess risks ...
  155. [155]
    ASML: The Key Bottleneck In The Global Semiconductor Supply Chain
    Mar 21, 2025 · If geopolitical risks around Taiwan were to suddenly materialize, I would expect ASML's stock to sell off along with the entire industry. In ...Missing: dependencies | Show results with:dependencies
  156. [156]
    China hit hard by new Dutch export controls on ASML chip-making ...
    Sep 16, 2024 · The Netherlands bans Dutch chip tool giant ASML Holding from servicing some of its most reliable equipment in China, according to industry insiders.<|separator|>
  157. [157]
  158. [158]
  159. [159]