Fact-checked by Grok 2 weeks ago

Magma Design Automation

Magma Design Automation was an (EDA) software company that provided tools for the design, verification, and implementation of integrated circuits (ICs). Founded in 1997 by Rajeev Madhavan, Lukas van Ginneken, Hamid Savoj, and Karen Vahtra, the company was headquartered in , and developed software solutions supporting digital design, analog and mixed-signal implementation, physical verification, circuit , characterization, and . Its flagship offerings included the Talus platform for integrated RTL-to-GDSII flows, as well as tools like FineSim for , for DRC, QuickCap for , Talus for place-and-route, Tekton for timing , and for analog/mixed-signal design. The company emerged during the late 1990s boom in design complexity, focusing on innovative EDA technologies to address submicron challenges in VLSI (very-large-scale integration) processes. went public on under the ticker LAVA in 2001 and expanded through acquisitions, such as Mojave Design in 2004 for enhancements and earlier buys like Moscape in 2000. Its One platform targeted advanced nodes, enabling faster design closure for high-performance chips used in industries like , , and . In 2011, announced its acquisition of for $7.35 per share, valuing the deal at approximately $507 million net of cash and debt, which was completed on February 22, 2012, for a total of $523 million. The merger integrated 's technologies into ' portfolio, enhancing capabilities in implementation and , and resolved ongoing disputes between the two firms. Post-acquisition, 's tools were migrated to ' common licensing and continue to support semiconductor innovation.

Company Overview

Founding

Magma Design Automation was incorporated on April 1, 1997, in . The company was established by a team of experienced professionals in the (EDA) sector, led by Rajeev Madhavan, who assumed the roles of chairman, CEO, and president from inception. Madhavan, a serial entrepreneur, had previously co-founded Ambit Design Systems in 1994, which was acquired by in 1998, providing him with deep insights into the challenges of logic synthesis tools. The other key founders included Lukas van Ginneken, Hamid Savoj, and Karen Vahtra, all veterans of established EDA companies such as . The initial mission of centered on developing innovative EDA software to overcome the limitations of traditional () design tools, particularly in handling the complexities of deep submicron processes. At the time, the EDA market was dominated by incumbents like and , whose sequential approaches to logic and physical design were increasingly inadequate for ICs scaling below 0.13 microns. Magma aimed to disrupt this landscape by integrating logic and physical design into a unified system, enabling faster , optimization, and overall design closure. This focus was driven by the founders' recognition of the need for more efficient tools to accelerate chip design cycles amid rapid advancements in semiconductor technology. The early team comprised a core group of engineers drawn from leading EDA firms, bringing specialized expertise in areas like timing analysis, synthesis algorithms, and verification methodologies. For instance, van Ginneken contributed knowledge from his work on advanced optimization techniques at , while Savoj offered skills in developed in similar environments. This assembled talent pool positioned Magma to challenge the and target companies seeking superior performance in high-speed IC development.

Business Focus

Magma Design Automation served as a key provider of electronic design automation (EDA) software, specializing in tools that enabled semiconductor companies to design and develop complex integrated circuits (ICs). The company's solutions targeted leading semiconductor manufacturers worldwide, supporting the creation of chips for various electronic applications, including those in consumer devices and computing systems. Co-founded in 1997, Magma positioned itself as a fast-growing player in the EDA industry, emphasizing software that streamlined the IC design process for high-stakes projects. The firm focused on key market segments encompassing , analog, and mixed-signal , with particular strengths in enabling applications and power optimization techniques. Its tools addressed the growing demands for efficient designs in advanced nodes, where power consumption and performance were critical factors for semiconductor innovation. Magma's competitive edge lay in its unified for design implementation, which integrated multiple stages of the IC development flow into a cohesive system, in contrast to the more fragmented toolsets offered by competitors. This approach allowed for streamlined workflows, earning high user satisfaction in processes. At its peak, the company employed 696 people as of May 2011 and maintained its headquarters in .

Products and Technology

Digital Design Tools

Magma Design Automation developed a suite of digital design tools centered on (EDA) for (IC) implementation, enabling efficient , placement, and of complex digital designs. The flagship product, Blast Fusion, provided a comprehensive RTL-to-GDSII platform that integrated , place-and-route, optimization, and analysis in a single executable flow. This tool employed a FixedTiming methodology, maintaining consistent timing models throughout the design process to achieve high-performance results for multimillion-gate chips. Blast Fusion was validated in reference flows with foundries such as , UMC, and SMIC, supporting process nodes down to 90 nm and delivering improved performance, power efficiency, and yield. Building on Blast Fusion, introduced the Talus family as an advanced implementation platform, offering greater and capacity for next-generation . Talus Vortex served as the core physical environment within this family, handling floorplanning, placement, , and optimization with enhanced timing closure and capabilities. Complementing this, Talus Power Pro focused on low-power , providing unified power optimization across and stages to reduce dynamic and leakage power in advanced nodes. The Talus supported hierarchical methodologies via integration with tools like , streamlining multi-million cell implementations. Key features of these tools included high-capacity handling for multimillion-gate designs, with Talus Vortex FX delivering up to three times faster throughput compared to prior versions for flat implementations. They were certified for advanced process nodes including 28 nm by foundries such as and provided flip-chip support via seamless integration with Rio Design Automation's RioMagic for package-aware planning and I/O optimization. These capabilities addressed challenges in advanced nodes by enabling concurrent optimization of timing, area, power, and manufacturability. Magma's digital design tools accelerated time-to-market for major firms, such as and foundry partners, by automating complex flows and reducing design iterations in low-power development. For instance, the Talus RTL-to-GDSII solution delivered out-of-the-box quality results, supporting UPF-compliant low-power designs and improving productivity for high-volume production.

Verification and Analysis Tools

Magma Design Automation developed a suite of and tools essential for ensuring the correctness, performance, and reliability of (IC) designs, particularly in advanced process nodes. These tools addressed key challenges in , timing , parasitic extraction, and formal equivalence checking, enabling designers to validate complex digital and mixed-signal designs efficiently. The family represented Magma's core offerings, including DRC for (DRC) and LVS for layout versus (LVS) . DRC and LVS were engineered for high scalability, capable of processing full-chip IC designs of any size at sub-90nm technology nodes in two hours or less, significantly reducing turnaround times compared to traditional tools. These tools were qualified by leading foundries such as for advanced nodes including 65nm, 45nm, and 28nm, supporting sign-off for high-volume production of memory and logic devices. Within the family, Formal provided capabilities, focusing on logic equivalence checking to confirm that synthesized netlists matched descriptions without vectors. This tool achieved up to 10x faster performance than competing formal verifiers and was deployed internally at for verifying microprocessors and with millions of gates. The 2004 acquisition of Mojave Design significantly enhanced Magma's portfolio, integrating Mojave's advanced DRC technology into the platform. This move enabled the rapid development of DRC, which incorporated Mojave's innovations for handling 90nm and 65nm process complexities, including design-for-manufacturability (DFM) analysis to improve yield. Post-acquisition, the integrated tools supported checking and debug workflows by providing hierarchical verification decks compatible with third-party formats, streamlining debug in multi-million-gate designs. For performance optimization, introduced Tekton, a static timing (STA) tool designed for multi-scenario on standard hardware. Tekton delivered sign-off quality results with on-chip variation (OCV) and considerations, achieving runtimes up to 10x faster than prior solutions for designs exceeding 30 million instances and 50 scenarios. Adopted by over 25 companies, including Exar and Designs, it facilitated rapid iteration in 40nm and 28nm SoCs by integrating seamlessly with extraction tools. Complementing STA, the QCP (QuickCap) extractor performed high-accuracy parasitic extraction for and . As a 3D field solver, QCP modeled advanced effects like (OPC) and chemical-mechanical polishing (CMP), providing reference-quality RC values qualified by and UMC for 28nm and 0.13μm processes. When coupled with Tekton, it enabled closed-loop timing closure, reducing iterations for designs with dense interconnects. These tools found applications in analog/mixed-signal () and power management verification, where FineSim integration with and QCP supported transistor-level simulation and extraction for low-power SoCs. For instance, they were used in ARM Cortex-based mixed-signal designs to verify power integrity and signal fidelity in 28nm processes.

History

Early Development and IPO

Magma Design Automation emerged as a startup in the (EDA) industry during the late , developing tools to address the growing complexity of . Following its founding in 1997, the company released its initial Blast product line, starting with Blast Fusion in April 1999. This physical design system integrated , placement, , and optimization in a unified flow, enabling timing sign-off at the beginning of the physical design process rather than through iterative fixes common in competing tools from established vendors like and . The Blast Fusion tool rapidly gained traction among chip designers seeking faster and more efficient alternatives in the competitive EDA market. In October 1999, graphics chipmaker 3Dlabs signed a multi-million-dollar agreement to license Blast Fusion, marking an early validation of the technology and laying the groundwork for broader adoption through tools, training, and consulting support. By the end of 1999, Magma had secured additional major customers, including , which reported significant reductions in design cycle times using the software. This early momentum reflected a shift toward unified data models that improved productivity for system-on-chip designs. Initial revenue growth underscored the product's appeal, with fiscal 2000 revenues reaching $1.45 million despite heavy investments in development, followed by a sharp increase to $16.24 million in the six months ended September 30, 2001, compared to $3.01 million in the prior-year period. On November 20, 2001, Magma completed its on the under the LAVA, raising $63 million by pricing 4.85 million shares at $13 each—well above the anticipated $9 to $11 range—and achieving a successful debut in a challenging market for tech IPOs. In the post-IPO period, Magma received early recognition for its innovations, including selection to the 100 list in May 2002, honoring the company's technology and business strategy amid a roster of promising firms.

Growth and Acquisitions

Following its , Magma Design Automation experienced significant expansion in the mid-2000s, driven by strong market demand for its (EDA) solutions in the . The company's rapid growth was recognized in 2005 when ranked it No. 2 on its list of the fastest-growing technology companies, based on a five-year annualized sales growth rate of 255%. This accolade highlighted Magma's ability to capture market share in chip design software amid increasing complexity in development. Post-IPO expansion began aggressively in 2003 with multiple acquisitions to broaden its product offerings. In July, acquired Aplus Design Technologies, enhancing its implementation tools. Later that October, it purchased Silicon Metrics for software and Random Logic Corp. for hardware-software co- capabilities. A key driver of this expansion was strategic acquisitions that bolstered its technology portfolio. In April 2004, completed the acquisition of Mojave Inc., an startup, for approximately $25 million in cash and stock. The deal, initially announced in February with an upfront payment of $25 million and potential additional earn-outs up to $115 million based on milestones, enhanced 's capabilities by integrating Mojave's advanced tools for improving chip manufacturability and design closure. Magma continued its inorganic growth through further technology integrations in 2007. That year, the company acquired Rio Design Automation and incorporated its RioMagic platform, which supported concurrent IC and package co-design for advanced packaging applications such as flip-chip technology. This integration with Magma's Talus and Blast Fusion tools enabled packaging-aware optimizations, addressing , power, and yield challenges in high-density designs. By fiscal , these efforts contributed to a milestone of $214.4 million, marking a 20.4% increase from the prior year and reflecting the maturation of Magma's expanded product suite in the EDA market.

Financial Performance and Challenges

Magma Design Automation achieved peak of $214.4 million in fiscal , marking a 20.4% increase from the prior year. However, by fiscal 2011, had fallen to $139.3 million, a decline attributed to intensifying competition within the (EDA) industry. This downward trajectory reflected broader market pressures, including a five-year of -5% from 2007 to 2011 and projections for further contraction to as low as $100 million by 2016. The company faced persistent profitability challenges, recording a GAAP net loss of $30.8 million in fiscal and $3.3 million in fiscal 2011, contributing to an accumulated deficit of $387.1 million by May 2011. These losses were exacerbated by substantial (R&D) investments necessary to innovate in chip design software, alongside elevated legal expenses stemming from prolonged disputes, such as the multi-year litigation with that required a $12.5 million payment in 2007. Operationally, employed 696 people as of May 2011, down from over 1,000 in prior years amid ongoing cost-control efforts. implemented workforce reductions, including a 10% cut announced in that incurred $2.5 million to $3.5 million in charges, as part of broader to align expenses with softening revenue forecasts. These measures occurred against the backdrop of EDA industry , where smaller players struggled to compete. Magma's market position as the fourth-largest EDA vendor by revenue was undermined by fierce rivalry from dominant competitors and , which together controlled a significant portion of the market and eroded Magma's share through superior scale and integrated offerings. This competitive intensity, coupled with Magma's history of unprofitability outside brief exceptions in 2003 and 2004, heightened operational hurdles and contributed to its eventual acquisition at a valuation reflecting distressed circumstances.

Patent Dispute with Synopsys

In September 2004, filed a against Design Automation in the U.S. District Court for the Northern District of , San Jose Division, accusing of infringing several -owned related to (EDA) technologies. The action stemmed from earlier tensions, as had sent a letter in July 2004 asserting that was infringing three , prompting to countersue and claim rightful ownership of two of those invented by Magma co-founder Lukas van Ginneken while he was employed at . sought damages, injunctive relief, and a declaration of non-infringement on 's claims. The core allegations revolved around technologies for timing analysis and logic synthesis, particularly Synopsys' "fixed timing" methodologies that enable constant-delay timing closure in integrated circuit design. Key patents at issue included U.S. Patent Nos. 6,285,114; 6,560,446; and 6,725,438, which cover graph-based optimization techniques for static timing analysis and synthesis tools used to predict and optimize signal delays in chip designs. Magma countered by asserting ownership of the van Ginneken patents (Nos. 6,560,446 and 6,725,438) and filing its own infringement claims, leading to parallel litigation in California and Delaware federal courts. The dispute escalated with court rulings favoring Synopsys on ownership issues, such as a January 2007 order requiring Magma to transfer title to the disputed patents, and U.S. Patent and Trademark Office reexaminations that rejected or narrowed several claims. On March 29, 2007, and announced a comprehensive settlement resolving all pending litigation between the two companies. Under the agreement, agreed to pay $12.5 million and both parties entered into a cross-licensing arrangement covering the patents in dispute, as well as any related , allowing mutual use without further restrictions. The settlement dismissed all claims in the and cases, with no admission of liability by either side. The three-year legal battle significantly strained Magma's financial resources, as it incurred substantial legal fees amid ongoing operations in a competitive market, exacerbating the company's profitability challenges during this period. This high-profile dispute exemplified the intense conflicts prevalent in the EDA industry, where overlapping innovations in core design technologies often lead to protracted litigation between major players.

Competitive Landscape

In the (EDA) industry during the 2000s, , , and dominated the market, collectively controlling approximately 75% of the overall share by 2002, a position that solidified their oligopolistic influence. These incumbents offered extensive, interoperable tool suites that benefited from established customer relationships and foundry certifications, creating barriers for newer entrants. Magma Design Automation carved out a niche through aggressive in unified flows, exemplified by its Talus platform, which integrated RTL-to-GDSII processes in a single to streamline implementation and reduce turnaround times compared to the more fragmented offerings of rivals. This approach targeted high-complexity designs, appealing to engineers seeking faster synthesis and placement without multiple handoffs. As the industry shifted toward advanced process nodes like 28-nm in the late 2000s, Magma's tools demonstrated superior capacity for multimillion-gate designs and rapid runtime, enabling implementations of large SoCs in under three days. However, adoption lagged due to rivals' ecosystem lock-in, including exclusive partnerships with leading foundries that certified only their toolchains, limiting Magma's integration opportunities. This challenge was compounded by ongoing patent disputes with , which disrupted customer confidence. Magma achieved notable gains in the IC implementation segment, increasing its share from 7% in 2000 to 24% in , reflecting early traction in performance-driven tools. By 2010, however, its overall EDA had eroded to about 2.8%, as incumbents' entrenched ecosystems and broader portfolios hindered sustained growth, ultimately contributing to its acquisition.

Acquisition by

Announcement and Negotiation

On November 30, 2011, , Inc. announced it had entered into a definitive agreement to acquire Design Automation, Inc. for $7.35 per share in cash, representing a transaction value of approximately $507 million net of Magma's cash and debt. The deal, subject to stockholder and regulatory approvals, was expected to close in the second quarter of 2012 and marked a significant step in the ongoing consolidation of the (EDA) industry. This acquisition followed a 2007 settlement between the two companies, in which Magma paid $12.5 million to resolve ongoing litigation. The negotiations leading to the agreement were spearheaded by Chairman and CEO and Chairman and CEO Rajeev Madhavan, with the aim of merging their complementary technologies to drive faster innovation in chip design solutions. De Geus emphasized that the acquisition would "enable to accelerate the delivery of the technology our customers need to keep the overall cost of design in check," highlighting the potential for enhanced support and development capabilities. Madhavan echoed this sentiment, stating that "by joining forces now we can ensure that chip designers have access to the advanced technology they need for silicon success at 28, 20 nanometer and below." Strategically, pursued the acquisition to strengthen its portfolio with Magma's advanced implementation tools, particularly for handling the increasing complexity of designs at advanced process nodes, amid broader industry trends toward consolidation among EDA providers. The combined entity was positioned to offer more comprehensive solutions in , analog, and mixed-signal , benefiting customers through integrated channels and accelerated product .

Completion and Integration

The acquisition of by was finalized on February 22, 2012, following regulatory approvals and shareholder consents. The transaction closed without significant antitrust obstacles, as clearance was secured from relevant authorities earlier that month. The final valuation stood at approximately $523 million net of cash acquired, equivalent to $7.35 per share, entirely funded through ' cash reserves and existing credit facilities. This marked the end of as an independent entity, with its operations fully absorbed into as a wholly owned subsidiary. Post-closing integration proceeded swiftly, with ceasing standalone operations and its key technologies merged into ' broader (EDA) portfolio. Products such as Talus, Magma's place-and-route tool, were incorporated into Synopsys' implementation flows, migrating to the company's common licensing system alongside other former Magma offerings like FineSim and . This integration enhanced Synopsys' existing tools, including Design Compiler, by leveraging Magma's innovations in timing-driven optimization and physical synthesis to improve overall design efficiency for advanced nodes. Senior Magma executives, including President and COO Roy Jewell, collaborated directly with Synopsys teams to facilitate a seamless transition. The merger bolstered ' competitive standing in the EDA market, solidifying its leadership in chip implementation and solutions amid growing in . Former Magma employees, particularly from engineering teams, were largely retained and contributed to subsequent advancements in ' product roadmap, including refinements in physical and simulation capabilities. Magma's legacy thus persisted through these integrated technologies and personnel, supporting ' expansion without disrupting ongoing industry collaborations.

References

  1. [1]
    Magma Design Automation, Inc. Press Release - SEC.gov
    Under the terms of the merger agreement, Synopsys will acquire Magma for $7.35 per Magma share in cash, resulting in a transaction value of approximately $507 ...
  2. [2]
    Magma Design Automation 2025 Company Profile - PitchBook
    Magma Design Automation was founded in 1997. Where is Magma Design Automation headquartered? Magma Design Automation is headquartered in San Jose, CA. What is ...
  3. [3]
    Magma Tools Migrating to Synopsys Common Licensing
    Former Magma tools will migrate to Synopsys Common Licensing (SCL). This includes FineSim, Quartz, Quickcap, Talus,Tekton, Titan, and other tools.
  4. [4]
    Magma Design Automation Inc. | Encyclopedia.com
    A SUBMICRON START: 1997–2000. Magma was founded by entrepreneur Rajeev Madhavan and three other EDA industry players: Lukas van Ginneken, Hamid Savoj, and Karen ...
  5. [5]
    Magma Design Automation Inc. - Semiconductor Engineering
    May 27, 2014 · Magma Design Automation Inc. acquired Mojave, Inc. in 2004, Magma Design Automation Inc. acquired Moscape, Inc in 2000, Magma Design Automation Inc. acquired ...Missing: history | Show results with:history
  6. [6]
    Magma Design Automation company information, funding & investors
    The key players in the acquisition included Aart de Geus, chairman and CEO of Synopsys, and Rajeev Madhavan, the founder, Chairman, and CEO of Magma.
  7. [7]
    Synopsys Completes Acquisition of Magma Design Automation
    Feb 22, 2012 · The combination of Synopsys and Magma will enable the company to more rapidly meet the needs of leading-edge semiconductor designers for ever ...Missing: history | Show results with:history
  8. [8]
    [PDF] G:\MMCLC2\DOCS\2004-05\Synopsis v. Magma, C-04 ... - GovInfo
    MAGMA DESIGN AUTOMATION, INC., ... Defendant Magma Design Automation, Inc. (“Magma ... ) At the time Magma was incorporated, on April 1, 1997, Madhavan and Vahtra ...
  9. [9]
    Magma Design Automation Inc - Company Profile and News
    INDUSTRY. Software & Tech Services ; SUB-INDUSTRY. Software ; INCORPORATED. -- ; ADDRESS. 690 East Middlefield Road Mountain View, CA 94043 United States ; WEBSITE.Missing: date | Show results with:date
  10. [10]
    Rajeev Madhavan - Semiconductor Engineering
    May 27, 2014 · Founded and served as the President and CEO of Ambit Design Systems, Inc in 1994 and sold to Cadence in 1997. Co-founded LogicVision, Inc.
  11. [11]
    Magma Takes Legal Blow As Co-Founder Turns Synopsys Witness
    Apr 13, 2005 · In the first, entitled “the Declaration of Lukas van Ginneken,” Magma co-founder van Ginneken admits taking Synopsys research to Magma and that ...
  12. [12]
    Magma Announces Silicon One Initiative - NBC News
    Jun 6, 2011 · Top semiconductor makers worldwide use Magma's electronic design automation (EDA) software to produce chips for electronic applications ...Missing: IC | Show results with:IC
  13. [13]
    Software Provider Adopts CRM in the Cloud over Salesforce.com
    Jul 12, 2011 · Magma Design Automation is a leading, fast-growing provider of electronic design automation (EDA) software. Semiconductor manufacturers ...<|control11|><|separator|>
  14. [14]
    Magma Design Automation CEO Discusses F2Q2011 Results ...
    Overall, FineSim continues to show strong growth and market adoption, as we have acquired several new logos in the analog and mixed-signal market segments.
  15. [15]
    Magma and UMC Announce UPF-Compliant Low-Power Reference ...
    Jun 10, 2008 · Magma's software for designing integrated circuits (ICs) is used to create complex, high-performance chips required in cellular telephones, ...
  16. [16]
    Magma flow adds power analysis - EE Times
    “Blast Power includes all the optimization and implementation features to help reduce leakage power, dynamic power and improve power distribution,” Patel said.Missing: digital computing
  17. [17]
    Magma gets high marks in EDA market study - EE Times
    EDA users give high marks to Magma Design Automation Inc. and remain undeterred by company's high-profile patent infringement disputes with heavyweight ...
  18. [18]
    Synopsys to Acquire Magma Design Automation - Nov 30, 2011
    Nov 30, 2011 · Synopsys, Inc. (Nasdaq:SNPS) is a world leader in electronic design automation (EDA), supplying the global electronics market with the software, ...
  19. [19]
    Magma spins enhanced version of RTL-to-GDSII tool - EE Times
    — Magma Design Automation Inc. has released an enhanced version of its Blast Fusion RTL-to-GDSII tool that targets high-performance, high-complexity ...
  20. [20]
    [PDF] HCL Technologies Partners with Magma to Offer Expert Design ...
    By using Blast Fusion's powerful features such as macro placement and physical ... place & route provide a single executable for RTL-to-GDSII chip design.
  21. [21]
    Magma's Blast Chip covers RTL to GDSII for voice-over-IP chip
    Startup Magma Design Automation has made bold claims on behalf of its RTL-to-GDSII Blast Chip design system, which holds timing as a constant during synthesis, ...
  22. [22]
    Magma to Distribute TSMC Libraries for Advanced System-on-Chip ...
    Dec 18, 2002 · Magma and TSMC worked closely together to validate the libraries in Magma's design environment, from RTL to tape-out-quality GDSII. This ...
  23. [23]
    MAGMA and UMC Release Validated RTL-to-GDSII Reference Flow ...
    Oct 8, 2003 · The UMC-Magma Reference Flow is a comprehensive RTL-to-GDSII flow for UMC's 0.18-micron, 0.13-micron and 90-nanometer process technologies.
  24. [24]
    SMIC and Magma Announce RTL-to-GDSII Reference Design Flow ...
    Nov 15, 2005 · Validated flow leverages Blast Create, Blast Plan Pro, Blast Power and Blast Fusion to deliver better performance, lower power and higher yield
  25. [25]
    SILICON PROCESSING: IC design suite's promise - EE Times
    Apr 17, 2006 · Talus is a departure from existing IC implementation tool sets, including Blast Fusion, Magma's flagship product, Madhavan said. Blast Fusion ...Missing: digital | Show results with:digital
  26. [26]
    Magma Design Automation, Inc. - Halbleiter-Scout
    An all-new IC implementation system that offers unequaled automation and virtually unlimited capacity. With Talus, Magma provides true electronic design ...
  27. [27]
    Magma's Talus IC Implementation System Supports TSMC 28-nm ...
    May 31, 2011 · Magma will offer demos of its complete line of technology-leading solutions that streamline customization of high-performance core designs, ...
  28. [28]
    Magma's Talus Vortex FX claims higher throughput for multimillion ...
    Dec 3, 2010 · Talus Vortex FX is claimed to be up to three times faster than Magma's new Talus 1.2. It provides higher capacity, enabling designers to implement multimillion ...Missing: family | Show results with:family
  29. [29]
    Magma Software in TSMC Reference Flow 8.0, Qualified for 45 ...
    Jun 5, 2007 · Blast Fusion and Talus Vortex are physical design solutions that include optimization, place and route, useful skew clock generation ...Missing: digital | Show results with:digital
  30. [30]
    Magma Enhances Flip Chip Design Support, Integrates Talus Vortex ...
    Magma® Design Automation Inc. (Nasdaq: LAVA), a provider of semiconductor design software, today announced the availability of enhanced flip chip design.
  31. [31]
    Magma and ARM announce comprehensive, low-power ...
    Magma and ARM announce comprehensive, low-power implementation solution for low-power SoC designs. Fully automated implementation solution supports designs with ...Missing: Talus family Vortex
  32. [32]
    Magma Announces Talus Design 1.1 and Talus RTL 1.1
    To help designers address these challenges, Magma created version 1.1 of the Talus RTL-to-GDSII solution to deliver optimal quality of results out of the box.
  33. [33]
    Magma Unveils Tekton – First Static Timing Analysis Solution to ...
    Mar 10, 2010 · Unlike other solutions, Tekton runs multi-scenario analysis efficiently on low-cost hardware without requiring a large number of expensive ...
  34. [34]
    Magma Introduces Quartz DRC - Taiwan Semiconductor
    Jun 1, 2005 · Quartz DRC is architected to process integrated circuit (IC) designs of any size, at any technology node, in two hours or less.Missing: family | Show results with:family
  35. [35]
    Latest Magma Quartz DRC and Quartz LVS Release Offers
    Feb 9, 2009 · Quartz DRC and Quartz LVS are architected to process integrated circuit (IC) designs of any size, at any technology node, in the least amount of ...Missing: family | Show results with:family
  36. [36]
    Magma's Quartz Physical Verification Software used by TSMC on ...
    5, 2010 – Magma® Design Automation Inc. (Nasdaq: LAVA), a provider of chip design software, announced today that TSMC used Quartz™ DRC for physical verification ...
  37. [37]
    TSMC qualifies Magma's QCP extractor for 28-nm designs
    Jul 15, 2011 · Magma Design Automation Inc., a provider of chip design solutions, announced TSMC has included the QCP extractor in TSMC's quarterly EDA ...
  38. [38]
    Magma announces formal verification product Quartz Formal
    Quartz Formal joins QuickCap in Magma's suite of software products for signoff in integrated circuit (IC) design. “This logic equivalence checking technology is ...Missing: Mojave | Show results with:Mojave<|control11|><|separator|>
  39. [39]
    Magma fields IBM equivalence checker - EE Times
    Apr 22, 2004 · Quartz Formal has been used within IBM for eight years for microprocessors and ASICs, and has reportedly been used to verify designs with over ...
  40. [40]
    Magma buys IC verification startup Mojave - EE Times
    Feb 24, 2004 · Magma Design Automation announced Tuesday (Feb. 24) that it will acquire Mojave Design, a much-awaited startup launched by former Avanti Corp. executives.<|control11|><|separator|>
  41. [41]
    TSMC Qualifies Magma's Quartz DRC for Advanced Process Nodes
    Apr 24, 2006 · Quartz DRC was architected to verify any design in few hours and is a result of Magma's acquisition of Mojave Design. With immediate access to ...
  42. [42]
    Exar Selects Magma's Tekton and QCP to Accelerate Sign-Off of 40 ...
    Over a variety of designs with up to 50 scenarios and design sizes up to 30M instances, Tekton performed timing analysis, with OCV and crosstalk enabled, in ...
  43. [43]
    Sigma Design Leverages Tekton to Accelerate Runtime and Reduce ...
    Sigma Designs selected Magma's new static timing analysis (STA) platform because it offers significantly higher capacity and dramatically faster runtimes ...
  44. [44]
    UMC Qualifies Magma's 3D Field Solver QuickCap NX as
    Jun 14, 2010 · "Qualifying Magma's 3D field solver reinforces QuickCap NX as the gold standard in parasitic extraction." QuickCap NX: The Gold Standard in ...Missing: QCP | Show results with:QCP
  45. [45]
    Melfas Uses Magma's Talus and FineSim SPICE to Tape Out Low ...
    FineSim SPICE is a SPICE-level simulation analysis tool that incorporates transistor-level simulation analysis capabilities for mixed-signal and analog designs.
  46. [46]
    Magma's Titan and FineSim Validated for TSMC's Analog/Mixed ...
    Magma products provide the “Fastest Path to Silicon”(TM) and include software for digital design, analog implementation, mixed-signal design, physical ...
  47. [47]
    Magma Design Automation Delivers New Place-and-Route System ...
    Cupertino, Calif.–April 28, 1999–Magma Design Automation, Inc. (Cupertino) introduced BlastFusion, a physical design system that achieves timing sign-off ...Missing: founding launch 1990s
  48. [48]
    Magma and 3Dlabs Sign Multi-Million Dollar Deal for Blast Fusion ...
    This deal lays the foundation for a partnership in which Magma will provide tools, training, and consulting to assist 3Dlabs in bringing their physical design ...
  49. [49]
  50. [50]
    Chip designer finds rare IPO success - CNET
    Magma, which develops software that helps speed the design of chips, priced on Monday at $13 a share, well above analysts' expected range of $9 to $11 a share, ...
  51. [51]
    The Fastest-Growing Tech Companies - Forbes
    Feb 11, 2005 · The Fastest-Growing Tech Companies ; @Road IT svcs for mobile workers, 24%, $73 ; Magma Design Automation Chip design software. 25, 138 ; WebEx ...
  52. [52]
    EDA M&A Scoop: Magma buys Rio Design for IC and package co ...
    Magma Design Automation has acquired IC and package co-design tool vendor Rio Design Automation, EDN has learned.
  53. [53]
  54. [54]
    Magma Reports Revenue of $214.4 million for Fiscal 2008,
    May 1, 2008 · For Magma's fiscal 2009 first quarter, ending Aug. 3, 2008, the company expects total revenue in the range of $50.0 million to $51.5 million.
  55. [55]
    Magma Reports Revenue of $139.3 Million for Fiscal 2011, Exceeds ...
    May 26, 2011 · Magma Reports Revenue of $139.3 Million for Fiscal 2011, Exceeds All Full Year Guidance. SAN JOSE, Calif., May 26, 2011 (GLOBE NEWSWIRE) -- ...Missing: employee 696
  56. [56]
    LAVA flows to Synopsys in $507M fire sale - EE Daily News
    Dec 1, 2011 · Synopsys' offer then represents a premium of just $115M - less than Magma's most recent reported annual revenue of $139.29M at the end of April, ...
  57. [57]
    Magma shares surge on Synopsys patent dispute resolution | Reuters
    Aug 9, 2007 · Magma had been incurring significant expenses due to its legal dispute with Synopsys over patents, which protect a technology used in ...Missing: losses R&D
  58. [58]
    Magma to restructure operations, reduce workforce - EDN Network
    Oct 2, 2008 · SAN FRANCISO—EDA vendor Magma Design Automation Inc. plans to restructure its operations and reduce its workforce b up to 10 percent, ...Missing: relocation | Show results with:relocation
  59. [59]
    Synopsys sues Magma for patent infringement - EE Times
    In a lawsuit filed in federal court in San Jose, Calif., Synopsys is asking for damages, fees and costs, and injunctive relief. The lawsuit ...Missing: dispute | Show results with:dispute
  60. [60]
  61. [61]
    Synopsys Sues Magma For Patent Infringement - Law360
    Synopsys is suing Magma under the van Ginneken patents to enforce its rights as the owner of the inventions and to bar Magma from practicing Synopsys' ...
  62. [62]
    Role of IBM engineer pivotal to Synopsys-Magma case - EE Times
    Apr 25, 2006 · Constant delay fixed timing closure technology is at the heart of the nearly two-year-old patent dispute between the two companies. Synopsys ...
  63. [63]
    Synopsys, Magma both claim victory in court ruling
    Feb 5, 2007 · The '114, '446 and '438 patents are the subject of a lawsuit Synopsys filed back in 2004 asserting patent infringement by Magma. Either way, the ...Missing: dispute | Show results with:dispute
  64. [64]
    Synopsys Owns Inventions in Magma Litigation
    Synopsys, Inc. (NASDAQ: SNPS) today confirmed its ownership of inventions in two patents Magma Design Automation, Inc. has asserted against Synopsys.Missing: September | Show results with:September
  65. [65]
    Court Denies Magma All Patent Ownership Claims
    Earlier this month, the Court ordered Magma to withdraw its claim of ownership to the '446 and '438 patents and transfer title to Synopsys. The title transfer ...Missing: March | Show results with:March
  66. [66]
    Synopsys and Magma settle all pending litigation - EDN Network
    Synopsys and Magma Design Automation jointly issued a release at 9 pm March 29 that they have agreed to settle all pending litigation ...
  67. [67]
    Synopsys And Magma Agree To Settle All Litigation
    Magma provides software for IC implementation, analysis, physical verification, characterization and programmable logic design, and the company's integrated RTL ...
  68. [68]
    Synopsys And Magma To Settle All Pending Litigation - Update
    The California Patent case, which Synopsys filed in 2004, is one of two patent lawsuits between the two companies. Synopsys filed the second case in U.S. ...<|control11|><|separator|>
  69. [69]
    Admission rocks Synopsys-Magma dispute - EE Times
    Synopsys charged that the “fixed timing” technology behind the patents was actually developed by van Ginneken at Synopsys. Magma denied Synopsys' claims and ...
  70. [70]
    Synopsys, Inc. - Company-Histories.com
    Company History: Synopsys, Inc. is the leading developer of software used in designing semiconductors, a field known as electronic design automation, or EDA.<|control11|><|separator|>
  71. [71]
    Competitive Dynamics in the Electronic Design Automation Industry
    Aug 23, 2019 · Since the late 1980's, Mentor, Cadence and Synopsys have been an oligopoly with combined market share of 75% plus or minus 5% for most of the ...
  72. [72]
    Magma's Talus supports Common Power Format - EE Times
    Apr 27, 2009 · The Magma Talus implementation system provides a fully integrated RTL-to-GDSII flow for high-performance, high-complexity, low-power nanometer ...
  73. [73]
    40 Percent Faster Runtime and Enhanced High-Performance Core ...
    Recently, a key Magma customer was able to implement a challenging 28-nm, 3.5-million-instance SoC top level design with 12 sign-off scenarios in less than 3 ...
  74. [74]
    From Years to Days: How AI is Redefining Chip Design - Z47
    Aug 8, 2025 · Fab lock-in: Top foundries like TSMC have tight partnerships with the "big three" EDA vendors and certify only a few toolchains. More on this ...
  75. [75]
    EDA leaders trade places - Design And Reuse
    Mar 17, 2004 · Synopsys still leads the RTL-to-GDSII "IC implementat ion" market, but Magma Design Automation's share grew from 7 to 24 percent. Cadence ...
  76. [76]
    EDA consolidation: Synopsys to acquire Magma Design - GfxSpeak
    Dec 1, 2011 · Synopsys (Nasdaq: SNPS) has agreed to acquire Magma Design Automation (Nasdaq: LAVA) for approximately $507 million, based upon a price of $7.35 per share of ...
  77. [77]
    Synopsys, Magma settle patent litigation - Reuters
    Aug 9, 2007 · Magma has also agreed to make a payment to Synopsys of $12.5 million toward the settlement of the dispute.Missing: R&D expenses
  78. [78]
    Synopsys receives antitrust clearance for $523 million acquisition of ...
    Synopsys receives antitrust clearance for $523 million acquisition of Magma Design Automation. February 2012. Client(s) Synopsys, Inc. Jones Day secured merger ...Missing: negotiations | Show results with:negotiations
  79. [79]
    Antun Domic, on Synopsys' Secret Sauce in Design - SemiWiki
    Jul 20, 2015 · Most of the Magma engineering team was retained (despite Magma's P&R product Talus being phased out) and they contributed to this effort.<|control11|><|separator|>
  80. [80]
    Synopsys acquires Magma Design Automation | Engagements | CRA
    A team of CRA economists advised counsel to Synopsys, Inc. in its acquisition of Magma Design Automation Inc., a provider of chip design software.