Fact-checked by Grok 2 weeks ago
References
-
[1]
A Review of DNN and GPU in Optical Proximity CorrectionOptical Proximity Correction (OPC) is a resolution enhancement technique. It compensates for imaging distortions by modifying the mask patterns.
-
[2]
What is Lithography? – How it Works | SynopsysOct 24, 2025 · Optical Proximity Correction (OPC) involves modifying mask layouts to compensate for distortions caused by the optical system, ensuring that ...
-
[3]
Optical proximity correction - SPIE Digital LibraryOptical proximity correction is a critical technique used to improve the resolution of photomasks in the lithographic process, compensating for distortions ...
-
[4]
[PDF] Fast Optical and Process Proximity Correction Algorithms for ...A brief historical perspective on OPC is presented here, with major techniques and advanced in the field highlighted. 1.5.1 E-Beam Proximity E ect Correction.
-
[5]
Optical Proximity Correction, Methodology and LimitationsModel based Optical Proximity Correction (MB-OPC) improves linewidth uniformity and pattern fidelity in photolithography using aerial images to calculate ...
-
[6]
Advancements and challenges in inverse lithography technologyJul 24, 2025 · We trace the evolution of computational lithography, from rule-based optical proximity correction (RBOPC), model-based optical proximity ...
-
[7]
Pushing k1 further - Lithography principles - ASMLThis technique is called optical proximity correction (OPC) and is often combined with specific illumination optimizations in the lithography system.
-
[8]
Siemens-imec collaboration reduces stochastic failures in EUV ...Sep 11, 2025 · Traditionally, optical proximity correction (OPC) has relied on deterministic compact models that focus on “average” behavior—assuming that ...
- [9]
-
[10]
[PDF] optical proximity correction for resolution enhancement technologyMay 16, 1994 · The most common proximity effects are caused by optical diffraction, and thus, they are called optical proximity effects. However, in ...
- [11]
-
[12]
What is the Rayleigh criterion?### Summary of Rayleigh Criterion for Lithography
-
[13]
Charting the future (and remembering the past) of optical lithography ...Nov 30, 2005 · Optical lithography modeling began in the early 1970s when Rick Dill and his team described the basic steps of the lithography process with ...Missing: observation | Show results with:observation
-
[14]
Optical lithography—a historical perspective - Academia.eduThe early days (1970-1980) of photolithography were dominated by contact and proximity printers/aligners (Fig. 1(a)). In the case of contact aligners, the mask, ...
-
[15]
Optical Proximity Correction (OPC) - SignOff SemiconductorsOct 27, 2023 · This technique helps in improving the imaging resolution. It is very important for the OPC to be efficient, especially for full-chip ...
-
[16]
Optical Proximity Correction (OPC) - Semiconductor EngineeringOptical Proximity Correction (OPC). A way to improve wafer printability by modifying mask patterns. popularity. Description.
-
[17]
(PDF) Efficient provably good OPC modeling and its applications to ...Optical Proximity Correction (OPC) is the most popular technique to handle ... Edge Placement Error (EPE) by. 20% and the maximum EPE by 12% with ...
-
[18]
Inverse lithography technology: 30 years from concept to practical ...Aug 31, 2021 · This first-generation correction was called rule-based optical proximity correction (OPC). Then, as chip feature sizes continued to shrink, OPC ...
-
[19]
Lithography k1 coefficient - Semiconductor EngineeringThe k1 coefficient is related to the difficulty of lithography and encapsulates process-related factors. It is used in the formula MFS = k1 x lambda / NA.Missing: Rayleigh | Show results with:Rayleigh
-
[20]
Rayleigh or Abbe? Origin and naming of the resolution formula of ...Nov 6, 2020 · where λ is the wavelength of the illuminating light and a is the NA of the system. We are not sure whether Abbe knew of Rayleigh's prior ...
-
[21]
CMOS Scaling for the 5 nm Node and Beyond - NIHAt this technology node, the k1 factor is close to its theoretical limit of 0.25, using DUV ArFi lithographic tools. This is called the 'No Contrast' region, as ...
-
[22]
Trend of k 1 values in IBM semiconductor manufacturing lithography ...Mild RET and strong RET are required for k-factors between 0.65-0.5 and from 0.5-0.25, which represents the limit of single-exposure optical lithography. [25] ...
-
[23]
EUV: Lithography: History, Latest Results, Technology RoadmapIn optical immersion lithography, k1<0.3 is realized in routine production, while in EUV lithography, the corresponding number is k1=0.4. How to lower the ...
-
[24]
Modified procedure for evaluation of low-k1 process windows ...For a lithography process, process windows are conventionally determined based on the amount of CD variation in a focus-exposure matrix (FEM). In a low-k1 ...
-
[25]
IBM and Synopsys Demonstrate EUV OPC WorkloadMay 3, 2021 · Chart of OPC runtime results for a beyond 5 nm technology node EUV thin wire chiplet. Figure 4: OPC runtime results for a beyond 5 nm ...
-
[26]
None### Summary of Illumination Coherence Effects in Lithography
-
[27]
Pattern deformation induced from intensity-unbalanced off-axis ...Asymmetric imaging for island type patterns gives rise to also the pattern CD asymmetry with defocus. We present schematic explanation of the effects of non- ...
-
[28]
Asymmetry of aerial image after mask pattern correction for off-axis ...Dec 2, 2005 · It has been found that off-axis incident light on a reflective mask causes asymmetry in the printed image when multiple spatial periods ...
-
[29]
Fast aerial image simulations for partially coherent systems by ...Nov 26, 2012 · ... H ( x 1 , y 1 ) H * ( x 2 , y 2 ) . (2). Here, J(x1 − x2, y1 − y2) is the mutual intensity that describes the coherence of the illumination ...
-
[30]
Development of automatic OPC treatment and layout decomposition ...Aug 9, 2025 · Double-dipole lithography (DDL) uses two orthogonal dipole illuminations and one or two masks to print the desired wafer pattern. The main ...
- [31]
-
[32]
(PDF) Source-mask optimization (SMO): from theory to practiceAug 9, 2025 · Source Mask Optimization (SMO) is one of the most important techniques available for extending ArF immersion lithography1. However, imaging with ...
- [33]
-
[34]
Optical Aberrations - RP PhotonicsTypes of Optical Aberrations · Defocus · Chromatic Aberrations · Spherical Aberrations · Astigmatism · Coma · Field Curvature · Image Distortion · Zernike Polynomials.
-
[35]
[PDF] Zernike PolynomialsFor a symmetrical optical system, the wave aberrations are symmetrical about the tangential plane and only even functions of q are allowed. In general, however, ...
-
[36]
optical lithography into the millennium: sensitivity to aberrations ...For a CD change, it seems reasonable to allow up to 5%. CD change due to the maximum lens aberration. For the pattern shift, a “rule of thumb” is usually ...
-
[37]
CD error caused by aberration and its possible compensation by ...Mar 24, 2017 · Non-negligible critical dimension (CD) variation and position shift are obtained with the reported maximum 25 mλ of coma aberration.Missing: end | Show results with:end
-
[38]
(PDF) Aberration evaluation and tolerancing of 193-nm lithographic ...Aug 9, 2025 · Described here is an approach to aberration tolerancing utilizing aerial image parameterization based on photoresist capability.
-
[39]
[PDF] 0.55 NA EUV lithography: Imaging & OverlayAll High NA mirrors are meeting design specs for wavefront aberrations, apodization, transmission and flare. Performance. Optics. Wavefront aberrations <0.23nm.Missing: <1nm 2020s
-
[40]
Model based optical proximity correction runtime saving with ...Dec 4, 2009 · The Hopkins equation is normally used to calculate aerial image intensities on a photomask for fast OPC models. In this section, the OPC ...
-
[41]
[PDF] Development of Positive Photoresists - Semantic ScholarA mechanism for the development of positive optical photoresists is proposed, leading to the derivation of a development rate equation.
-
[42]
[PDF] Fast Lithography Simulation under Focus Variations for OPC and ...Hopkins Equation. The aerial image can be described by the Hopkins Equation12. I(f,g) = T(f. + f,g. + g; f ,g. )F(f. + f,g.
-
[43]
[PDF] Optical Proximity Correction with Linear RegressionDec 2, 2007 · In comparison on the same computing platform, it takes 340 and 220 seconds to perform model based OPC without predictions for designs. A and B ...
-
[44]
Method for optical proximity correction based on a vector imaging ...Mar 27, 2024 · This paper proposes a model-based edge OPC method starting from the vector imaging model by establishing an analytical relationship between the ...
-
[45]
(PDF) An efficient method for transfer cross coefficient approximation ...Aug 9, 2025 · Model Based Optical Proximity Correction (MBOPC) is since a decade a widely used technique that permits to achieve resolutions on silicon ...
-
[46]
Siemens EDA celebrates 20 years of collaboration with imecMar 28, 2023 · In 1999, Mentor Graphics (now Siemens EDA) acquired a small ... released their first model-based OPC tool, Calibre OPCpro. The team ...Missing: date | Show results with:date
-
[47]
Synopsys optimizes OPC tool for Intel-based servers - EDN NetworkFeb 27, 2007 · Anantha Sethuraman, VP of DFM marketing at Synopsys said the Proteus OPC engine has been in production for 10 years over seven consecutive ...
- [48]
-
[49]
[PDF] Optical lithography—a historical perspectiveNov 22, 2006 · In the late 1980s, step and repeat systems with reduction projection optics were introduced, also commonly known as 'steppers'. The reason for ...
-
[50]
Imaging contrast improvement for 160-nm line features using subresolution assist features with binary, six percent ternary attenuated phase-shift mask with process-tuned resist### Summary of Quantitative Results on Contrast Improvement Using SRAFs
-
[51]
Sub-resolution assist feature (SRAF) study for active area immersion ...Sub-resolution assistant feature (SRAF) is applied to enhance the process window of isolated and semi-isolated features by taking advantage of the optical ...<|control11|><|separator|>
-
[52]
[PDF] Inverse lithography for 45-nm-node contact holes at 1.35 numerical ...The results also suggest that the process window may be enhanced by a high trans- mission PSM, shown in Fig. 13 as the lower average PV band area. 4.3 ...
-
[53]
A History of Resolution Enhancement Technology - ResearchGateAug 10, 2025 · ... line end shortening and corner rounding. One established method to mitigate the impact of diffraction is optical proximity correction. This ...
-
[54]
Multiple Patterning - Semiconductor EngineeringMultiple patterning enables chipmakers to image IC designs at 20nm and below. Basically, there are two main categories of multiple patterning: pitch splitting ...
-
[55]
[PDF] Double PatterningNov 7, 2017 · Double patterning can further reduce pitch size without changing NA or 𝜆. R = 0.25 7 193. 1.35. ≈ 36 nm. 80 nm. 40 nm. Rasha ...
-
[56]
Overlay error statistics for multiple-exposure patterningApr 4, 2019 · Results: The overlay error between two patterns is usually less than the root sum square of the two overlay error values of the patterns ...
-
[57]
Overlay error components in double-patterning lithographyThe remainder residual error of about 2nm should be more than adequate to handle 2Xnm and 1Xnm design rules, according to industry guidelines and prevailing ...
-
[58]
Overlay Challenges On The Rise - Semiconductor EngineeringNov 16, 2017 · The overlay metrology tool detects a misalignment in the layers or what's called an overlay error. A mishap with the scanner and mask can cause overlay errors.
-
[59]
Impact of CD and overlay errors on double-patterning processesAug 9, 2025 · Double patterning (DP) is today the main solution to extend immersion lithography to the 32 nm node and beyond. Pitch splitting process with ...Missing: proximity | Show results with:proximity
-
[60]
[PDF] Self-aligned double-patterning layout decomposition for two ...Dec 4, 2014 · Thus, layout decomposition for random 2-D logic features which have various wire widths and spaces is a primary challenging issue for a ...
-
[61]
10nm Fab Challenges - Semiconductor EngineeringMay 21, 2015 · With SAQP, chipmakers also can move towards a simpler two-step patterning flow using lines and cuts. Patterning the lines is relatively simple.
-
[62]
(PDF) A new method for post-etch OPC modeling to compensate for ...Aug 9, 2025 · In this paper, we demonstrate a new methodology for post-etch OPC modeling to compensate for effects of underlayer seen on product wafers.
-
[63]
Photomask plasma etching: A review - AIP PublishingJan 10, 2006 · CD etch bias has been an important parameter for photomask plasma etch because it determines the CD deviation from nominal values.
-
[64]
7nm Lithography Choices - Semiconductor EngineeringMar 7, 2016 · For example, with immersion/multi-patterning, there are 34 lithography steps at 7nm, according to ASML. With EUV alone, there are just 9 steps, ...
-
[65]
Why EUV Is So Difficult - Semiconductor EngineeringNov 17, 2016 · Chipmakers are capable of extending immersion/multi-patterning from 16nm/14nm to 10nm and 7nm. Beyond 7nm, though, there is some uncertainty.<|control11|><|separator|>
-
[66]
A Photolithography Process Design for 5 nm Logic Process FlowAug 9, 2025 · In a typical 5 nm logic process, the contact-poly pitch (CPP) is 44-50 nm, the minimum metal pitch (MPP) is around 30-32 nm.
-
[67]
Line Edge Roughness Reduction Techniques in EUV LithographyOct 13, 2025 · Discover how EUV lithography revolutionizes semiconductor manufacturing and the critical challenges of Line Edge Roughness in sub-10nm chip ...<|control11|><|separator|>
-
[68]
Accelerating Computational Lithography & Chip Design with NVIDIAMar 21, 2023 · We explain computational lithography and explore how our partnership with NVIDIA accelerates semiconductor scaling and the chip design flow ...
-
[69]
Tough road ahead for device overlay and edge placement errorMar 26, 2019 · EPE requirements of a "single digit nanometer number" is now a harsh reality in 5nm nodes and below. In this technical presentation we will ...Missing: 3nm | Show results with:3nm
-
[70]
Comparative Stochastic Process Variation Bands For N7, N5, And ...Feb 21, 2019 · We compare the performance of organic chemically-amplified and condensed metal-oxide resists exposed at different sizing doses using a proxy 2D SRAM layout.
-
[71]
3nm Technology - Taiwan Semiconductor Manufacturing Company ...In 2022, TSMC became the first foundry to move 3nm FinFET (N3) technology into high-volume production. N3 technology is the industry's most advanced process ...Missing: Samsung OPC EUV
-
[72]
Photomask Challenges At 3nm And BeyondJan 25, 2022 · Specifically, as you try to do OPC (optical proximity correction), where you're using smaller features, these effects really have an impact.
-
[73]
[PDF] Advances in Inverse Lithography - UCLA MathematicsJul 14, 2022 · Inverse lithography technology (ILT) is a method of solving the mask synthesis problem by setting the problem up as an inverse problem ...
-
[74]
[PDF] L2O-ILT: Learning to Optimize Inverse Lithography TechniquesProblem 1 (Mask Optimization): Given a target image Zt, the objective of mask optimization is to find a mask M, whose printed image through the lithography ...
-
[75]
[PDF] Model-based OPC Extension in OpenILT - CUHK CSEThis paper presents an MB-OPC extension of OpenILT, an open-source library for computational lithography, to enable model-based optical proximity correction. It ...
-
[76]
5 things you should know about High NA EUV lithography - ASMLJan 25, 2024 · High NA EUV is the next step in our constant pursuit of shrink. Like NXE systems, it uses EUV light to print tiny features on silicon wafers.
-
[77]
Breakthrough curvilinear ILT enabled by multi-beam mask writingNov 17, 2021 · Multi-beam mask writers can write curvilinear masks in a constant write time, and curvilinear mask patterns are more resilient than Manhattan ...