Fact-checked by Grok 2 weeks ago

RCA clean

The RCA clean is a standard wet chemical cleaning procedure used in semiconductor manufacturing to remove organic residues, particles, and metallic contaminants from wafer surfaces, ensuring high purity and readiness for subsequent high-temperature processes such as oxidation, , and . Developed by Werner Kern at Laboratories, the process employs aqueous solutions based on and has been a cornerstone of fabrication since its introduction in the mid-1960s. The procedure typically involves two main steps: the SC-1 clean, which uses a heated mixture of ammonium hydroxide (NH₄OH), hydrogen peroxide (H₂O₂), and deionized water in a 1:1:5 ratio at 70–80°C for 10–15 minutes to dissolve organics and dislodge particles through micelle formation and oxidation; and the SC-2 clean, utilizing hydrochloric acid (HCl), H₂O₂, and deionized water in a 1:1:6 ratio at 60–70°C for 10–20 minutes to complex and remove heavy metal ions. An optional dilute hydrofluoric acid (HF) etch may precede or follow these steps to strip native oxide layers, producing a hydrophobic silicon surface. All solutions require electronic-grade reagents to avoid introducing new impurities, and thorough rinsing with deionized water is essential between steps to prevent cross-contamination. First detailed in a by Kern and D.A. Puotinen, the RCA evolved from earlier cleaning methods and addressed the need for reproducible, damage-free preparation amid shrinking feature sizes in . Over decades, modifications such as megasonic agitation for enhanced particle removal and reduced chemical concentrations for environmental and cost efficiency have refined the process, yet its core principles remain integral to achieving high device yields and reliability in the .

Introduction and History

Overview

The RCA clean is a multi-step wet chemical cleaning developed for wafers in semiconductor manufacturing, designed to remove organic residues, , and metallic contaminants from the wafer surface. This achieves ultra-clean, hydrophilic surfaces by establishing a thin native layer, making it essential for preparing wafers prior to high-temperature operations such as oxidation, , or thin-film deposition. At its core, the RCA clean involves sequential treatments with alkaline and acidic mixtures based on —known as SC-1 and SC-2—along with an optional etch to remove any existing oxide, followed by thorough deionized water rinses to eliminate residual chemicals. These components work synergistically to target different contaminant types without damaging the underlying substrate, ensuring compatibility with the material's properties. Key benefits of the RCA clean include its proven effectiveness in enhancing device fabrication yields by minimizing contamination-related defects, its simplicity and safety for industrial use, and its economic viability through the employment of readily available, high-purity reagents. The resulting passivating oxide layer further protects the surface, contributing to reliable performance in integrated circuits. Originating from early 1960s work by Werner Kern at RCA, the process endures as a foundational technique, with modern adaptations supporting its application in advanced nodes below 10 nm.

Historical Development

The RCA clean process was developed in the early by Werner Kern at the Radio Corporation of America (RCA) laboratories in , specifically to combat challenges in the production of early transistors and integrated circuits. At the time, the was grappling with inconsistent surfaces that led to defects such as unreliable oxide growth and electrical failures, driven by the increasing complexity of device fabrication following the transistor's commercialization in the late 1950s. Kern's development addressed the need for a reproducible, multi-step chemical cleaning method to remove organic residues, particles, and thin oxides, ensuring higher yield and reliability in -based manufacturing. The process was first implemented in RCA's device production lines in 1965, marking a shift from ad hoc cleaning techniques to a standardized protocol. The initial details of the clean were documented in internal reports from the early 1960s, but it gained formal recognition through Kern's seminal publication in 1970, co-authored with D.A. Puotinen, titled "Cleaning Solutions Based on for Use in Technology" in the Review. This paper outlined the core steps—now known as SC-1 for organic and particle removal and SC-2 for metallic impurities—and demonstrated their efficacy in achieving surface purities suitable for high-performance devices. By the late 1970s, the clean had become the de facto industry standard, adopted across major due to its proven ability to support scaling from micron to sub-micron feature sizes. Its widespread acceptance was further propelled by technical symposia, including the inaugural International Symposium on Cleaning Technology in Manufacturing held in 1989 by the Electrochemical , which fostered ongoing refinements and knowledge sharing. Key milestones in the included the integration of the RCA clean into complementary metal-oxide- (CMOS) fabrication workflows, where it played a critical role in enabling the transition to denser circuits with gate lengths below 1 micrometer. However, by the , limitations such as potential metal re-deposition in SC-1 baths and sensitivity to contaminants became evident, prompting refinements like optimized chemical ratios and single-wafer processing to enhance efficiency and reduce cross-contamination. These evolutions maintained the process's relevance, allowing it to support advanced nodes. Over its more than 50 years of use, the RCA clean has enabled the semiconductor industry's exponential scaling, with variants continuing to be a foundational in fabrication facilities worldwide.

Standard Process

Preparation and Initial Cleaning

The preparation and initial cleaning steps for the RCA clean process are essential to remove gross contaminants from silicon wafers, ensuring they are properly hydrated and free of loose debris before immersion in the core chemical baths. These steps minimize the risk of cross-contamination and promote uniform treatment during subsequent processing. An optional preliminary degreasing is often performed using ultrasonic agitation in a sequence of solvents: acetone for 5 minutes to remove residual solvents and lighter organics, followed by methanol or (IPA) for 5 minutes to strip fingerprints and water-soluble residues. Note: Trichloroethylene, historically used for heavy organics, is prohibited in the as of 2025 under TSCA regulations due to health and environmental risks; safer alternatives are now . This step effectively eliminates gross organic contamination without damaging the wafer surface. Following , wafers are soaked in deionized (DI) water with a resistivity of 18 MΩ·cm for 5-10 minutes. This hydration step removes loosely adhered particles and soluble while stabilizing the wafer surface for chemical exposure. To prevent of contaminants like metals or , all process vessels must be made of or Teflon, materials that exhibit high chemical resistance at elevated temperatures. Wafers are handled using robotic arms in automated systems or Teflon tweezers in manual setups to avoid introducing metallic impurities from human contact or tools. For optimal cleaning uniformity and efficient drainage, wafers are immersed vertically in carrier boats, allowing solution flow across both sides and reducing effects. These preparatory measures prevent cross-contamination between batches and ensure even exposure to cleaning solutions, establishing a baseline particle count below 10 per cm² prior to the SC-1 step for organic and particle removal.

SC-1 Step

The SC-1 step, also known as Standard Clean 1, is the initial chemical immersion in the cleaning process, designed to remove organic residues and particulate contaminants from wafer surfaces. The solution is prepared fresh using a standard volume ratio of 5:1:1 deionized water to 30% (H₂O₂) to 29% (NH₄OH), with the mixture heated to 75-80°C to enhance reactivity. This composition creates an alkaline environment with a of approximately 10-11, promoting the of surface groups and facilitating contaminant desorption. Wafers are immersed in the SC-1 solution for 10 minutes, during which optional megasonic or ultrasonic agitation can be applied to improve particle lift-off by generating and acoustic streaming. The process targets hydrocarbons, remnants, and colloidal particles through oxidative degradation of organics, primarily via hydroxyl radicals generated from H₂O₂ decomposition, while the alkaline conditions and slight (~0.8 nm/min) help dislodge adhered particles. This step effectively reduces surface particle counts to below 1 per cm² on properly processed wafers. A key side effect of the SC-1 is the formation of a thin layer, approximately 1-2 thick, due to the oxidation of the surface by H₂O₂. Additionally, trace metals such as (Na) and (K) can be introduced from impurities in the NH₄OH reagent, necessitating subsequent removal steps. Process monitoring involves in-situ control of and temperature to maintain solution efficacy, with post-immersion assessment using with dissipation (QCM-D) to verify residue levels and ensure completeness. Following SC-1, an optional etch may be performed to strip the newly formed layer before proceeding to further .

Optional Oxide Etch

The optional oxide etch step in the RCA cleaning process involves a brief immersion in a dilute () solution to remove the thin chemical layer, approximately 1 nm thick, that forms on the surface during the preceding SC-1 step. This intermediate treatment bridges the and particle removal of SC-1 to the subsequent metal removal in SC-2 by exposing a bare surface. The standard mixture consists of (typically 49% concentration) diluted in at a ratio of 1:50 to 1:100, resulting in approximately 0.5% to 1% , performed at (25°C) to minimize uncontrolled . Variants using buffered , such as a mixture of (NH₄F) and (often 6:1 NH₄F:), provide more controlled by stabilizing the and reducing the etch rate variability. The duration is typically 10 to 30 seconds, without heating, to target only the thin oxide while avoiding damage to the underlying . This step serves to expose bare , which enhances for subsequent processes like or improves surface analysis accuracy, and it also helps strip residual ionic contaminants such as Na⁺ that may be embedded in the . The etch exhibits high selectivity, with a rate of approximately 2-5 nm/min on SiO₂ compared to negligible etching on , temporarily rendering the surface hydrophobic due to termination. The optional oxide etch is included when a bare surface is required, such as prior to epitaxial growth, but omitted in processes where the native provides beneficial passivation. Since the 2000s, recipes have increasingly favored more dilute solutions around 0.5% to improve safety while maintaining efficacy. Potential risks include pit formation on the if over-etched, necessitating precise timing and an immediate deionized water rinse to prevent residue buildup. Following this step, a thin layer may regrow during the SC-2 .

SC-2 Step

The SC-2 step, also known as the second standard clean, follows the SC-1 process to prepare wafers by targeting residual metallic impurities after particle and organic removal. This acidic treatment utilizes a in a 1:1:6 volume ratio of (HCl, 37%), (H₂O₂, 30%), and deionized water (H₂O), heated to 75–80°C. Wafers are immersed in the for approximately 10 minutes under gentle to prevent re-deposition of dissolved contaminants, with the maintaining a of about 2. The process effectively complexes and dissolves metals such as iron (), copper (), and sodium () through chloride ion coordination and peroxide-mediated oxidation, achieving metal levels below 10¹⁰ atoms/cm². A key side effect is the regrowth of a thin passivating oxide layer, approximately 1 nm thick, which minimally affects particle counts but contributes to enhanced surface cleanliness. Optimization often involves tuning the HCl concentration to target specific metals, and since the 1990s, additions like ethylenediaminetetraacetic acid (EDTA) as a chelator have been incorporated for improved heavy metal removal in modified recipes. Endpoint verification typically employs techniques such as (AAS) or total reflection (TXRF) to confirm metal reduction. Following SC-2, wafers proceed to final rinsing in ultra-pure water to eliminate chemical residues.

Final Rinsing and Drying

After the SC-2 step, which passivates the wafer surface with a thin layer, the final rinsing and drying phase removes residual chemicals and achieves an ultra-clean surface suitable for storage or subsequent processing. This step is essential across all RCA clean variants to prevent recontamination and ensure high-purity conditions. The rinsing protocol employs cascading deionized (DI) water in an overflow system, typically lasting 10-20 minutes, to dilute and flush away traces of SC-1 and SC-2 chemistries. The process begins with water at approximately 25°C, gradually cooling to below 20°C to minimize on the wafers while enhancing residue removal efficiency. is continuously monitored during rinsing, targeting levels below 1 μS/cm to confirm effective contaminant dilution. Optionally, megasonic agitation at frequencies of 0.8-1.2 MHz can be integrated into the rinse to dislodge adsorbed ions and particles through gentle , avoiding surface damage to delicate structures. This enhancement is particularly useful for high-aspect-ratio features in advanced nodes. Drying follows immediately to eliminate moisture without introducing defects. Common methods include nitrogen gas blow-drying for uniform evaporation or spin-rinse-dry (SRD) systems operating at 1500-2000 rpm for 5-10 minutes, leveraging to remove water films. For hydrophilic surfaces post-RCA, (IPA) vapor drying is preferred, as it replaces water via , preventing water marks and achieving particle-free results. The primary purpose of these steps is to dilute all chemical residues to negligible levels, avert water mark formation or recontamination from drying impurities, and attain a final resistivity exceeding 10 MΩ·cm (equivalent to <0.1 μS/cm), ensuring the wafers meet stringent purity standards for semiconductor fabrication. Quality assurance involves visual inspection for spots or haze, particle counters to verify low defect densities, and surface energy assessment via water contact angle measurement, where values below 5° confirm a highly hydrophilic, clean surface. Dried wafers are stored in cleanroom cassettes under nitrogen purge to maintain contamination-free conditions until further processing.

Chemistry and Mechanisms

Reactions in SC-1

The SC-1 solution, consisting of ammonium hydroxide (NH₄OH), hydrogen peroxide (H₂O₂), and water at elevated temperatures (typically 70–80°C), establishes an alkaline environment with pH values around 10–11. This high pH from NH₄OH deprotonates surface silanol groups on silicon (Si-OH → Si-O⁻ + H⁺), rendering the silicon surface negatively charged, which enhances electrostatic repulsion of similarly charged particles to facilitate their removal. Hydrogen peroxide in this alkaline medium undergoes decomposition, initially forming the hydroperoxide ion as H₂O₂ ⇌ HOO⁻ + H⁺, with catalysis by ammonium ions (NH₄⁺). This process generates hydroxyl radicals (•OH), potent oxidants, through subsequent steps such as H₂O₂ + OH⁻ → HO₂⁻ + H₂O, followed by HO₂⁻ decomposition to yield •OH + •OH. These radicals drive the oxidation of organic contaminants by attacking C-H bonds, leading to fragmentation and conversion to CO₂ and H₂O; a representative initiation reaction is R-H + •OH → R• + H₂O, where R represents an organic moiety. Particle removal in SC-1 is primarily enabled by mild etching of the silicon substrate by NH₄OH, which undercuts adhered particles for lift-off. The etching proceeds via Si + 4NH₄OH → Si(OH)₄ + 4NH₃, producing soluble orthosilicic acid (Si(OH)₄) that dissolves into the solution. A potential drawback of SC-1 is the introduction of trace metals, such as Fe²⁺ leached from process equipment, which can form stable complexes with ammonia ligands as Fe²⁺ + 6NH₃ → [Fe(NH₃)₆]²⁺, potentially leading to surface redeposition if not managed. Additionally, the peroxides promote chemical oxidation of the silicon surface, forming a thin protective oxide layer through Si + 2H₂O → SiO₂ + 2H₂, which passivates the substrate while aiding contaminant removal but may require subsequent etching for bare silicon exposure.

Reactions in SC-2

The SC-2 step of the RCA clean utilizes an acidic environment created by hydrochloric acid (HCl), which supplies chloride ions (Cl⁻) essential for chelating and solubilizing metal contaminants on the silicon surface. The solution's pH, typically around 2, protonates the silicon surface, imparting a positive charge that aids in attracting and facilitating the removal of ionic species. This acidic condition enhances the dissolution of metal hydroxides and prevents their reprecipitation, complementing the organic removal achieved in the prior SC-1 step. Hydrogen peroxide (H₂O₂) in the SC-2 mixture serves primarily as an oxidant, converting metals to higher oxidation states for easier removal; for instance, it oxidizes ferrous ions via the reaction: \text{Fe}^{2+} + \text{H}_2\text{O}_2 \rightarrow \text{Fe}^{3+} + \text{OH}^- + \cdot\text{OH} This process, akin to Fenton's chemistry, increases the solubility of transition metals like iron and copper. Metal removal occurs through the formation of soluble chloride complexes, such as the tetrachlorocuprate ion: \text{Cu}^{2+} + 4\text{Cl}^- \rightarrow [\text{CuCl}_4]^{2-} Alkali metals like sodium are solubilized in the acidic medium and rinsed away post-treatment. The high Cl⁻ concentration from HCl inhibits re-deposition by maintaining these complexes in solution and preventing precipitation of metal hydroxides. Decomposition of H₂O₂ generates hydroxyl radicals (•OH), which can attack residual trace organics, though their activity is limited at low pH; chlorine radicals (Cl•) may also form via: \text{HCl} + \cdot\text{OH} \rightarrow \text{Cl}\cdot + \text{H}_2\text{O} contributing minimally to cleaning compared to complexation. Additionally, H₂O₂ promotes controlled oxide regrowth on the silicon surface through cathodic reduction, as represented by: \text{Si} + 2\text{H}_2\text{O}_2 \rightarrow \text{SiO}_2 + 2\text{H}_2\text{O} The oxide growth reaches a self-limiting thickness due to equilibrium between oxidation by H₂O₂ and dissolution in the acidic medium. This forms a thin, protective hydrated oxide layer (approximately 6-10 Å thick under standard conditions), with growth following a parabolic rate law influenced by immersion time and temperature.

Role of Oxide Layers

In the RCA cleaning process, a distinction exists between the native oxide that forms spontaneously on silicon surfaces upon exposure to air and the chemical oxide intentionally grown during the cleaning steps. The air-formed native oxide typically reaches a thickness of approximately 0.5 nm and is amorphous with a relatively low density due to its uncontrolled formation. In contrast, the RCA process generates a chemical oxide layer of approximately 0.6-1 nm thickness through wet oxidation, primarily in the , resulting in a denser and more uniform compared to the native oxide. This chemical oxide exhibits higher structural integrity, with a density approaching that of thermal oxides (around 2.2 g/cm³), enhancing its protective qualities. The primary function of these oxide layers in RCA cleaning is surface passivation, which shields the underlying silicon from direct exposure to atmospheric contaminants and reactive species during subsequent processing. By forming a barrier, the oxide stabilizes the silicon surface energy, promoting uniform nucleation and adhesion in later deposition or epitaxy steps. This passivation is crucial for maintaining low defect densities in device fabrication, as bare silicon is highly susceptible to rapid recontamination. Throughout the RCA sequence, oxide layers play a dynamic role tailored to specific cleaning objectives. In the SC-1 step, the growth of a thin chemical oxide facilitates particle removal by creating an expansive underlayer that lifts adhered contaminants away from the silicon interface. An optional hydrofluoric acid (HF) etch may then strip this oxide to expose bare silicon, particularly for applications like epitaxial growth where an oxide-free surface is required. The SC-2 step subsequently regrows a protective oxide layer to safeguard the cleaned surface against ionic recontamination. Precise control of oxide thickness is essential and is typically monitored using ellipsometry, which measures refractive index variations to determine layer dimensions non-destructively. An ideal thickness of 0.6-1 nm is targeted in most RCA processes to provide adequate passivation without introducing mechanical stress in overlying thin films. However, limitations arise with thicker oxides exceeding 5 nm, which can encapsulate impurities and hinder their removal, potentially degrading device performance. In advanced semiconductor nodes, oxide layers are often minimized to below 1 nm to accommodate ultra-scaled structures. Historically, prior to the 2000s, RCA processes commonly resulted in thicker oxide layers (up to several nanometers) due to less refined chemical ratios and longer immersion times. Contemporary adaptations prioritize thinner, more controlled oxides, with atomic layer deposition (ALD) occasionally supplanting wet-grown layers for enhanced precision in high-k dielectric integration and sub-10 nm nodes.

Variations and Adaptations

Dilute and Modified RCA Recipes

Dilute RCA (DRC) processes optimize the standard SC-1 step by employing a highly diluted mixture, typically at a 50:1:1 ratio of deionized water to ammonium hydroxide (NH₄OH) and hydrogen peroxide (H₂O₂), conducted at room temperature for 5-10 minutes. This formulation significantly reduces the etch rate of silicon by approximately 50-100 times compared to conventional recipes at elevated temperatures, limiting silicon loss to less than 0.1 nm per cleaning cycle while maintaining effective removal of organic residues and particles. Modifications to the SC-2 step, often using a 1:1:50 ratio of hydrochloric acid (HCl) to H₂O₂ and water, incorporate ozonated water to enhance oxidation and metal ion removal, particularly for copper interconnects introduced in the 2000s. This adaptation improves the removal of metallic contaminants without excessive etching of sensitive structures, achieving surface metal concentrations below 10⁹ atoms/cm². To further enhance efficiency, both SC-1 and SC-2 steps can operate at reduced temperatures of 40-50°C, which cuts energy consumption by up to 50% relative to traditional 70-80°C processes while preserving cleaning efficacy; this approach was validated for 28 nm technology nodes in the 2010s. In modern implementations, single-wafer tools with dynamic chemical mixing have largely replaced batch processing, enabling sequential application such as SC-1 followed by an in-line dilute HF dip for native oxide removal. These systems support precise control over chemical delivery, reducing variability and enabling higher throughput. Key benefits of these dilute and modified recipes include substantially lower chemical consumption—for instance, up to 97.5% reduction in H₂O₂ usage compared to standard RCA—while ensuring compatibility with 300 mm wafers and achieving particle removal efficiencies exceeding 95%. By the 2020s, these optimized RCA variants had become standard in high-volume semiconductor manufacturing, endorsed in the International Technology Roadmap for Semiconductors (ITRS) for their balance of performance, cost, and sustainability in advanced nodes. As of 2025, hybrid RCA processes with AI-optimized parameters are increasingly adopted for sub-2 nm nodes to further minimize defects.

Alternatives for Advanced Nodes

As semiconductor fabrication advances to sub-5 nm nodes, traditional RCA cleaning faces limitations such as excessive etching of ultra-thin layers, chemical incompatibility with novel materials like high-k dielectrics and low-k interconnects, and increasing demands for reduced water and chemical consumption. Emerging alternatives prioritize minimal material loss, dry or low-liquid processes, and compatibility with extreme ultraviolet (EUV) lithography and 3D architectures, often complementing dilute RCA variants for legacy equipment while offering environmental benefits like up to 60% less chemical use. These methods include ozone-based, cryogenic, plasma/vapor, supercritical fluid, and hybrid approaches, driven by industry roadmaps projecting their integration by 2030. Ozone-based cleaning utilizes deionized (DI) water saturated with ozone (O₃) at concentrations of 1-20 ppm, typically at room temperature (around 25°C) for 5-10 minutes, to generate a strong oxidant that effectively removes organic contaminants and particles without introducing metallic residues. This process replaces (H₂O₂) in steps, forming a thin protective silicon oxide layer (5-12 Å) while exhibiting very low etch rates on silicon and oxides, often below 0.1 nm under controlled conditions, making it suitable for delicate advanced structures. Studies demonstrate its efficacy in post-chemical mechanical polishing (CMP) cleans, achieving particle removal efficiencies comparable to while reducing rinse water by over 65% compared to standard . Cryogenic aerosol cleaning employs CO₂ snow jets, generated by expanding liquid CO₂ through nozzles to form dry ice particles (typically 0.5-10 µm in size range), which dislodge particulates via momentum transfer, thermal shock, and sublimation without liquids or residues. This dry process eliminates chemical use entirely, targeting hydrocarbon contaminants and particles down to nanoscale levels on silicon wafers, and has been applied since the 2010s as a pre-RCA step in 3D NAND fabrication to protect high-aspect-ratio features from mechanical damage. It achieves >95% particle removal efficiency for sub-50 nm contaminants while avoiding pattern collapse in finFET or nanosheet structures. Plasma and vapor-based methods, such as remote for stripping, use downstream radicals to selectively etch native oxides or residues at low temperatures (<100°C), minimizing damage to underlying materials like Si₃N₄ or metals in interconnects. Complementary isopropyl alcohol (IPA) vapor drying employs heated IPA to displace water via Marangoni effect, reducing overall water consumption by up to 90% in single-wafer tools compared to RCA rinsing. These techniques are integrated in post-etch cleans for sub-7 nm nodes, with plasmas enabling etch rates of ~7.5 nm/cycle for precise control in gate-all-around (GAA) devices. Supercritical CO₂ (scCO₂) cleaning involves pressurized CO₂ (above 31°C and 73.8 bar) with additives like H₂O₂ or co-solvents to solvate and remove photoresists, etch residues, and particles isotropically, without pattern-dependent etching or water usage. The process achieves >90% removal of ion-implanted resists in minutes, leveraging CO₂'s low for penetration into high-aspect-ratio features in 3D NAND or logic devices, and is particularly effective for low-k dielectrics where aqueous causes damage. Additives enhance oxidation, enabling residue breakdown without aggressive acids. Hybrid approaches combine with UV- treatments for enhanced post-etch cleaning, where UV-generated (at 184.9 nm and 253.7 nm wavelengths) removes carbon contaminants from EUV resists, achieving >99% efficacy since 2022 implementations in and . This leverages RCA's particle removal with 's organic stripping, reducing steps in EUV workflows for sub-5 nm pitches while maintaining compatibility with existing tools. Despite these advances, alternatives face challenges including higher equipment costs (up to 2-3x RCA tools due to specialized reactors), scalability issues for 2 nm nodes where quantum effects amplify defect sensitivity, and integration complexities in high-volume manufacturing. RCA remains the baseline for many processes, but hybrids are projected to dominate by 2030 as fabs adopt them for yield improvements in GAA and backside power delivery architectures.

Safety and Environmental Aspects

Chemical Hazards and Handling

The RCA clean process involves handling several highly hazardous chemicals, including (H₂O₂), ammonium hydroxide (NH₄OH), (HCl), and (HF), each presenting distinct risks that require stringent safety measures. H₂O₂ acts as a strong oxidizer and corrosive agent, capable of causing severe skin and eye burns upon contact, while also posing an explosion hazard when mixed with organic contaminants due to rapid decomposition releasing oxygen and heat. NH₄OH and HCl are corrosive bases and acids, respectively, that release irritating fumes and can inflict deep tissue burns, with NH₄OH being extremely basic and HCl generating hazardous vapors. HF is particularly dangerous as a bone-seeking that penetrates skin and gloves easily, leading to systemic effects like and potentially fatal even in dilute forms. Exposure risks are multifaceted, encompassing skin contact, , and , with immediate and delayed effects. Direct skin exposure to H₂O₂, NH₄OH, or HCl results in severe chemical burns, while of their vapors causes respiratory tract irritation, coughing, and in severe cases. exposure is insidious, as it may not cause immediate but binds calcium in tissues, leading to deep burns, bone damage, and life-threatening electrolyte imbalances like ; even small amounts (e.g., 100 mL of concentrated solution) can be lethal. Additionally, H₂O₂ mixed with organics can ignite spontaneously or explode, amplifying fire risks in environments. Safe handling protocols emphasize (PPE) and controlled environments to minimize exposure. Operators must wear double-layered gloves (e.g., under trionic or ), chemical-resistant aprons, face shields, and safety goggles; for , PPE should include gloves rated for resistance. All procedures occur in fume hoods equipped with and to capture corrosive fumes, with solutions mixed fresh by adding acids to water (never the reverse) and heated only to specified temperatures (e.g., 70–85°C) to avoid H₂O₂ decomposition. Emergency measures include immediate rinsing of skin exposures (5–10 minutes for with gel applied afterward, 15–20 minutes for others), eye flushing for 20 minutes, and medical attention; (2.5–10% gel or solution) is essential for neutralization to bind ions. Spill kits with neutralizers like for acids must be readily available. Storage practices prevent incompatible reactions and degradation. Acids (HCl, ) and bases (NH₄OH) must be segregated in dedicated, ventilated cabinets away from each other and oxidizers, with H₂O₂ (limited to <30% concentration and stabilized) stored separately to avoid contamination-induced decomposition. Mixed RCA solutions have a short of 1–2 weeks at due to H₂O₂ instability, and fresh preparation is recommended to maintain efficacy and safety. Training and operational safeguards align with OSHA standards to ensure and . Personnel receive OSHA-compliant on chemical properties, PPE use, emergency responses, and spill management, often supervised by lab managers; buddy systems are required for high- tasks, and after-hours work is prohibited. Modern cleanrooms incorporate real-time sensors for gas detection and temperature monitoring to prevent hazards like H₂O₂ .

Waste Treatment and Sustainability

The RCA cleaning process generates wastewater characterized by acidic and alkaline effluents from the SC-1 (ammonia- mixture) and SC-2 (hydrochloric acid- mixture) steps, respectively, containing residual , ions, , and trace metals such as iron and introduced from wafer handling or . Organic residues removed during contribute to high (COD) levels in the effluent, while overall water consumption in is significant due to rinsing requirements. Treatment of RCA-generated wastewater begins with neutralization to adjust , often using for alkaline SC-1 waste or for acidic SC-2 streams, producing neutral salts like . are then precipitated as hydroxides—such as iron(III) hydroxide for ions—via lime addition, followed by filtration and resins to achieve discharge limits for priority pollutants. decomposition is achieved through oxidation or enzymatic methods using , preventing interference with downstream biological treatment and reducing oxidative hazards. Sustainability initiatives in RCA cleaning focus on , including closed-loop of deionized water by integrating and systems after initial treatment. These efforts mitigate the high water intensity of the process while minimizing freshwater intake. Additionally, residuals are targeted for decomposition to support reuse, aligning with broader goals for circular water management. Regulatory frameworks govern waste discharge, with the U.S. Agency's Limitations Guidelines for the Electrical and Electronic Components category (40 CFR Part 469) imposing limits such as 17.4 mg/L average for and 1.37 mg/L maximum for total toxic organics in treated effluents from semiconductor facilities. In the , compliance with REACH regulations since 2007 requires registration and risk assessment of key chemicals, including , ammonium hydroxide, and , to ensure safe handling and environmental release. Recent green innovations include the adoption of low-volume wet benches and single-wafer processing tools in the 2020s, which have reduced chemical and water waste compared to traditional batch systems through precise dispensing and minimal overflow rinsing. Bio-based alternatives, such as enzyme-enhanced solutions, are emerging to replace peroxide-based oxidants in preparatory steps, further lowering and disposal burdens. The of RCA cleaning arises primarily from energy-intensive heating and chemical production, though fab-wide optimizations aim for by 2035 through and renewable sourcing.

References

  1. [1]
    [PDF] RCA Critical Cleaning Process - MicroTech (MT Systems)
    RCA Cleaning​​ The process consists of two consecutively applied hot solutions known as “RCA Standard Clean”, SC-1 and SC-2, featuring pure and volatile reagents ...
  2. [2]
    RCA Cleaning Process: Chemicals and Applications | allanchem.com
    Sep 28, 2025 · RCA cleaning, developed in 1965, is a wet chemical process used to clean silicon wafers by removing organic residues, particles, oxides, ...<|control11|><|separator|>
  3. [3]
    [PDF] Kern W & Puotinen D A. Cleaning solutions based on hydrogen ...
    Jan 5, 1983 · paper has been cited in over 120 publications since 1970, making it the 4th most-cited paper ever published in this journal.l. Werner Kern.<|control11|><|separator|>
  4. [4]
    Surface Reactions in Microelectronics Process Technology
    Kern W, Poutinen D. 1970. Cleaning solutions based on hydrogen peroxide for use in silicon semicon- ductor technology. RCA Rev. 31:187–206. 9. Kern W. 2008 ...
  5. [5]
    [PDF] June 1970 Volume 31 No. 2 - World Radio History
    Jun 1, 1970 · RCA Review June 1970 457. Page 278. Werner Kern received a certificate in chemistry in 1944 from the University of Basle, Switzerland, and a ...
  6. [6]
    The Evolution of Silicon Wafer Cleaning Technology - IOPscience
    The Evolution of Silicon Wafer Cleaning Technology. Werner Kern. © 1990 ECS - The Electrochemical Society Journal of The Electrochemical Society, Volume 137 ...
  7. [7]
    [PDF] Semiconductor Cleaning Technology 1989
    THE EVOLUTION OF SILICON WAFER CLEANING TECHNOLOGY. Werner Kern. Lam Research Corporation, CVD Division. San Diego, California 92126. The purity of wafer ...
  8. [8]
    [PDF] Cleaning Technology in Semiconductor Device Manufacturing VII
    cleaning process to replace conventional RCA cleaning yields equivalent or better cleaning performance for all impurities. A small amount of specific gases ...<|control11|><|separator|>
  9. [9]
    [PDF] Wet Chemical Processing of (0001)Si 6H‐SiC Hydrophobic and ...
    Both the as-polished and the oxidized wafers were ultrasonically cleaned/degreased in trichloroethylene, acetone, and methanol each for 10 min prior to any ...
  10. [10]
    [PDF] Cleaning Procedures for Silicon Wafers
    Prepare RCA bath: 5 parts water (H2O), 1 part 30% hydrogen peroxide (H2O2), 1 part 27% ammonium hydroxide (10 minutes). Soak wafer in warm acetone (10 minutes).Missing: initial trichloroethylene
  11. [11]
    [PDF] RCA-1 Silicon Wafer Cleaning
    RCA-1 clean is used to remove organic residues from silicon wafers. In the process, it oxidizes the silicon and leaves a thin oxide on the surface of the wafer, ...
  12. [12]
    Etching of Silicon by the RCA Standard Clean 1 - ResearchGate
    Aug 5, 2025 · The RCA clean was designed to accomplish this in two steps. The ... Article. Jun 1990. Werner Kern. The purity of wafer surfaces is an ...
  13. [13]
    [PDF] Cleaning Technology in Semiconductor Device Manufacturing
    The First and Second International Symposia on Wafer Cleaning. Technology in Semiconductor Device Manufacturing were held during the. Society's Fall Meetings ...
  14. [14]
    RCA clean - Wikipedia
    The RCA clean is a standard set of wafer cleaning steps which need to be performed before high-temperature processing steps (oxidation, diffusion, CVD)Standard recipe · First step (SC-1): organic clean... · Third step (SC-2): ionic clean
  15. [15]
    [PDF] The Effects of RCA Clean Variables on Particle Removal Efficiency
    The properties of RCA wet chemical processing in silicon technology is based on processing time, temperature, concentration and megasonic power of SC-1 and QDR ...Missing: trace | Show results with:trace<|control11|><|separator|>
  16. [16]
    [PDF] Standard Operating Procedure: RCA Clean
    Sep 8, 2017 · RCA clean is a procedure for removing metal ions from silicon wafers. In the process it oxidizes the silicon and leaves a thin film of oxide on ...Missing: definition benefits
  17. [17]
  18. [18]
    RCA Wafer Cleaning: Key Steps & Best Practices for Silicon Wafers
    RCA wafer cleaning is a key process in semiconductor manufacturing used to remove contaminants from silicon wafers. It involves specific chemical solutions ...Missing: motivation transistor
  19. [19]
    [PDF] cleaning technology in semiconductor device manufacturing
    ... RCA clean contains four major chemical steps per- formed in the following ... Werner Kern and David A. Puotinen: RCA Review June 187 (1970). 200. Page ...
  20. [20]
    [PDF] Etch rates for micromachining processing-part II
    The etch rates of thermal oxide in various dilutions of HF are given in Table VIII. The etch rate is linear with concentration for dilutions in the 10:1 to ...
  21. [21]
    [PDF] Dry Ex Situ Cleaning Processes for (0001)Si 6H-SiC Surfaces
    a dilute HF etch generates a hydrophobic, hydrogen-terminated sur- ... growth of epitaxial layers and which are not removed by RCA clean- ing or the thermal ...
  22. [22]
  23. [23]
    [PDF] 1970 Pages: 187-206 Article Author W. Kern and D. A. Puotinen
    This 20 page article discusses the original RCA clean, wafer contamination, the effectiveness of this clean and electrical device performance using this clean.
  24. [24]
    RCA Cleaning Process - ECE Illinois - ece444
    The RCA clean is the industry standard for removing contaminants from wafers. Werner K ern developed the basic procedure in 1965 while working for RCA.
  25. [25]
    2.3. RCA Clean Procedure - Bio-protocol
    The second step, the so-called RCA standard clean 2 (SC-2), is done in an acidic solution with low pH value. The solution consists of hydrochloric acid (37 vol ...
  26. [26]
    Growth mechanism and characterization of chemical oxide films ...
    Here, we report the oxidation process of hydrophobic silicon surfaces in peroxide mixtures, SC1 and SC2, as a function of time, pH and temperature. This study ...
  27. [27]
    Enhancement of Semiconductor Wafer Cleaning by Chelating Agent ...
    Sep 1, 2001 · To realize environmental and cost benefits it is desirable to reduce the RCA cleaning sequence from its historical SC1 + SC2 combination, ...
  28. [28]
    [PDF] Operating Procedures - ece444
    The RCA method for chemically cleaning silicon wafers has become widely accepted in the semiconductor industry. The original paper1 is one of the most ...
  29. [29]
    Using RCA Clean in a Wet Bench Process - Modutek Corporation
    Aug 3, 2023 · RCA Clean is a three-step cleaning process aimed at effectively cleaning silicon wafers. Each step addresses different types of contaminants.
  30. [30]
    (PDF) ULTRASONIC AND MEGASONIC PARTICLE REMOVAL
    Megasonic cleaning (0.8 to 1.2 MHz) is used in semiconductor manufacturing. Experimental results for both types of cleaning, using semiconductor wafers, will be ...
  31. [31]
    [PDF] Cleaning Technology in Semiconductor Device Manufacturing VIII
    Also, single-wafer cleaning is getting close to becoming a mainstream technology. Ozonated water, high-k gate dielectrics, low-k inter-layer dielectrics, copper ...
  32. [32]
    Spin Rinse Dryer (SemiTool) - UCSB Nanofab Wiki
    Aug 30, 2022 · The tool is set up to rinse at ~1000 rpm while spraying DI water, and then Dry in nitrogen at ~2000 rpm. A "Dry Only" option is also available.Missing: SRD semiconductor 1500-2000
  33. [33]
    Drying Performance of Single IPA Dryer to Prevent Pattern Collapse ...
    Aug 6, 2025 · However, while using IPA vapor dryer, watermark is easily generated on even hydrophilic surface, such as thermally grown oxide and SiON. To ...
  34. [34]
    Checklist for RCA Cleaning Process Chemicals | allanchem.com
    Sep 17, 2025 · The process involves two primary solutions: RCA-1 (Ammonium Hydroxide ... elements like sodium, potassium, and heavy metals. These grades ...Missing: K NH4OH
  35. [35]
    Wafer cleaning process - RCA cleaning and contact angle
    Mar 4, 2025 · RCA Clean is a standard protocol used to remove organic and inorganic contaminants from silicon wafers, ensuring their readiness for subsequent ...
  36. [36]
    The Role of HO2− in SC-1 Cleaning Solutions
    Feb 10, 2011 · The SC-1 solution, consisting of a mixture of ammonium-hydroxide, hydrogen-peroxide, and water, is the most efficient particle removing agent ...Missing: reactions | Show results with:reactions
  37. [37]
    The Role of HO2 − in SC-1 Cleaning Solutions
    Feb 10, 2011 · The SC-1 solution, consisting of a mixture of ammonium-hydroxide, hydrogen-peroxide, and water, is the most efficient particle removing agent found to date.
  38. [38]
    2. Physics of Thermal Oxidation - IuE
    ... silicon is exposed to an oxygen or air ambient. There, a thin native oxide layer with 0.5-1nm will form on the surface rapidly. After that, the growth slows ...
  39. [39]
    [PDF] Etching of Silicon by the RCA Standard Clean 1 - Sci-Hub
    1,2 The major objective of this clean is to remove impurities such as particles and metals from the wafer sur- face. The RCA clean was designed to accomplish ...Missing: trace QDR
  40. [40]
    High-precision x-ray reflectivity study of ultrathin SiO2 on Si
    From comparing the calculated to the measured data, it is clear that the densities of native oxides depend on the chemical solu- tions used. The dip ...
  41. [41]
    RCA Clean in Semiconductor Manufacturing | ElectraMet
    What is the RCA Clean Process? The RCA Clean process, developed in the 1960s, is used to clean silicon wafers before high-precision manufacturing steps.Missing: definition | Show results with:definition
  42. [42]
    The distribution of the gate oxide thickness measured by ellipsometry...
    Figure 2 shows the thickness of the SiO 2 measured by ellipsometry as a function of the step before and after the SC1 cleaning and the second gate oxidation.
  43. [43]
    Effect of silicon surface cleaning procedures on oxidation kinetics ...
    Experimentally, silicon (100) wafers were given different variations of an RCA clean, and then oxidized in dry O2 at 900°C producing oxides with thicknesses ...
  44. [44]
    [PDF] Silicon Wafer Cleaning for Integrated Circuit Fabrication, - DTIC
    Nov 25, 1985 · The RCA clean resulted in the highest oxide peak and modest carbon. ... Since the adoption of the RCA clean consistent results have been obtained.
  45. [45]
    Enabling Advanced Devices With Atomic Layer Processes
    Apr 18, 2024 · It has emerged as a critical tool for both transistor and interconnect fabrication at the most advanced nodes. ALD can be speeded up somewhat.Missing: RCA | Show results with:RCA<|control11|><|separator|>
  46. [46]
    Effect of Ultra-Dilute RCA Cleans on the Integrity of Thin Gate Oxides
    Feb 10, 2011 · Ultra-dilute RCA chemistries have shown particle removal efficiency, metallic contamination removal, surface roughness, Qbd, BVox and defect ...Missing: DRC | Show results with:DRC
  47. [47]
    Origin and Innovations of CMP Slurry - IOPscience
    Jul 23, 2024 · This paper reviews how today's CMP (Chemical Mechanical Polishing) slurries have been innovated and explores ideas for driving further evolution.
  48. [48]
    A move to single wafer cleaning | Semiconductor Digest
    Timing is the biggest challenge in single wafer cleaning. It is clear that batch cleaning times of 20 to 50 minutes using standard RCA cleaning must be reduced ...
  49. [49]
    [PDF] international technology roadmap
    The Front End Processes (FEP) Roadmap focuses on future process requirements and potential solutions related to scaled field effect transistors (MOSFETs), ...
  50. [50]
    [PDF] Using Dissolved Ozone in Semiconductor Cleaning Applications
    Dissolved ozone is used in semiconductor cleaning to clean wafers, remove organics, metals, particles, photoresist, and disinfect DI-water facilities.
  51. [51]
    [PDF] The Increasing Importance of the Use of Ozone in the ...
    The oxide layer is etched away in the second step by dilute HF (d-HF) and mixtures with HCI and other additives.Missing: details | Show results with:details
  52. [52]
    co2clean: Home
    The CO2 Snow Cleaning process removes particles of all sizes, from visible down to 3 - 5 nanometers. At the same time, hydrocarbon-based contamination and ...Missing: wafer 3D NAND
  53. [53]
    Wafer Clean 2200 - Bruker
    Cryogenic Dry CO₂ Wafer Cleaning System. The Bruker Wafer Clean 2200 System removes particulate contamination and thin film organic residues from silicon, ...Missing: jets 3D NAND
  54. [54]
    Surface cleaning with the carbon dioxide snow jet - ResearchGate
    Aug 7, 2025 · Surface cleaning using a carbon dioxide snow jet incorporates a high‐velocity stream of small dry ice particles and gas which is directed ...Missing: cryogenic NAND
  55. [55]
    Thin layer etching of silicon nitride: A comprehensive study of ...
    Sep 21, 2016 · Finally, the efficiency of the best NF3-NH3 remote plasma process to remove the modified silicon nitride layer has been evaluated on pattern ...<|separator|>
  56. [56]
    [PDF] Cyclic etching of silicon oxide using NF3/H2 remote plasma and ...
    Apr 26, 2021 · It is found that by using the three‐step cyclic process, the silicon oxide etch rate could be precisely controlled as ~7.5 nm/cycle with the ...
  57. [57]
    (PDF) Wafer Cleaning Using Supercritical CO2 in Semiconductor ...
    Apr 24, 2025 · We have investigated the removal of ion-implanted photoresists using supercritical carbon dioxide (SCCO 2)/chemical additive formulations.
  58. [58]
    Wafer Cleaning Using Supercritical CO2 in Semiconductor and ...
    Nov 1, 2007 · Wafer Cleaning Using Supercritical CO2 in Semiconductor and Nanoelectronic Device Fabrication · K. Saga, T. Hattori · Published 1 November 2007 ...
  59. [59]
    Removal of resist or residue from semiconductors using supercritical ...
    The supercritical CO 2 permits a tremendous reduction in reaction time and amount of chemical utilized for the resist removal process. In a preferred embodiment ...
  60. [60]
    Effective Carbon Contaminant Cleaning Condition Using Ozone ...
    Aug 6, 2025 · A possible candidate for carbon contaminant removal in Ru-capped extreme ultraviolet lithography (EUVL) mask is ozone dissolved water (DIO3).
  61. [61]
    [PDF] Ultraviolet-Ozone Cleaning of Semiconductor Surfaces - DTIC
    UV/ozone cleaning is a simple, dry method for removing contaminants from semiconductor surfaces, producing clean surfaces rapidly in air or vacuum.
  62. [62]
    Wafer Cleaning Challenges Grow For Chips, Chiplets
    Aug 11, 2024 · Henry: In advanced nodes, the use of single wafer cleaning is predominant using dilute standard RCA chemicals to prevent etching of the surface.<|separator|>
  63. [63]
    [PDF] Hydrogen Peroxide - Hazardous Substance Fact Sheet
    Hydrogen Peroxide is a colorless, odorless liquid. Because pure Hydrogen Peroxide is unstable and an explosion risk, it is usually in a water solution. It ...
  64. [64]
    Hydrogen Fluoride/Hydrofluoric Acid: Systemic Agent | NIOSH - CDC
    For minor exposures with very dilute hydrofluoric acid (HF): mix 10 mL of 10% calcium gluconate with 100 mL of normal saline to give an approximately 1% calcium ...
  65. [65]
    [PDF] RCA WAFER CLEAN SOP
    Also, only use the RCA cleaned slingshots to transfer the wafer cassette to all baths, quick-dump-rinses (QDR) and the spin-rinse-dryer (SRD).
  66. [66]
    [PDF] RCA Clean Procedure Originator: Paul Mak Revision 01 Boston ...
    Apr 28, 2010 · The RCA clean (or JTB clean) should be performed before any high temperature processing. Do not use RCA if metals are present on the wafers. If ...<|control11|><|separator|>
  67. [67]
    UNISON decision framework for hybrid optimization of wastewater ...
    Semiconductor manufacturing is water-intensive that generates tremendous wastewater during the wafer cleaning for lengthy wafer fabrication processes.
  68. [68]
    Semiconductor Manufacturing Wastewater Treatment | IDE Tech
    Oct 12, 2023 · The HERO process involves procedures such as lime softening and ion exchange for the removal of hardness, degasification for the removal of ...
  69. [69]
    Efficient Hydrogen Peroxide Removal in SPM and APM Wastewater
    Explore challenges in removing hydrogen peroxide from Sulfuric-Peroxide Mixtures (SPM) and Ammonia-Peroxide Mixtures (APM) in semiconductor fabs.
  70. [70]
    [PDF] Biodegradation of Hydrogen Peroxide in Semiconductor Industrial ...
    If a catalase that effectively decomposes hydrogen peroxide can be applied, it can be utilized to decompose hydrogen peroxide contained in industrial waste.
  71. [71]
    Electrical and Electronic Components Effluent Guidelines | US EPA
    The E&EC Effluent Guidelines regulate direct and indirect dischargers of pollutants from facilities making semiconductors, electronic crystals, and luminescent ...
  72. [72]
    Understanding REACH - ECHA - European Union
    REACH is an EU regulation to protect health and environment from chemical risks. It stands for Registration, Evaluation, Authorisation and Restriction of  ...
  73. [73]
    Sustainable Methods for Semiconductor Manufacturing - Modutek
    Aug 6, 2024 · Ozone Cleaning Process. The ozone cleaning process is a highly effective and environmentally friendly method for cleaning semiconductor wafers.
  74. [74]
    Development of eco-friendly cleaning solution for industrial silicon ...
    In this study, the eco-friendly and reusable cleaning solution have been developed to remove the generation of stains and increase the recyclability of the ...
  75. [75]
    Environmental data and facts in the semiconductor manufacturing ...
    That is, consumption of 2.58 ​m3 of water and 361.3 kwh of energy, and emissions of 263.9 ​kg of CO2 equivalent occurred in manufacturing processes of a single ...