Fact-checked by Grok 2 weeks ago

PA-RISC

PA-RISC (Precision Architecture - Reduced Instruction Set Computing) is a reduced instruction set computing (RISC) () developed by (HP) during the 1980s, designed for high-performance Unix-based workstations and servers. It employs a with fixed 32-bit instructions, emphasizing simple operations, single-cycle execution where possible, and hardware efficiency to replace earlier stack-based and CISC designs like the and 68000. The architecture evolved through three main versions—PA-RISC 1.0 (32-bit, introduced 1986), 1.1 (enhanced 32-bit with multimedia extensions, 1991), and 2.0 (64-bit with , 1996)—powering over 16 processor implementations from early /NMOS chips to advanced designs like the PA-8900. Key technical features of PA-RISC include 32 general-purpose 32-bit registers (with 7 shadow registers for interrupts), 32 floating-point registers supporting 32-, 64-, and 128-bit formats, and control registers for , privilege levels (0–3), and system state via the Processor Status Word (PSW). Addressing supports up to 64-bit virtual spaces with page sizes of 4–32 KB, translation lookaside buffers (TLBs) for virtual-to-physical mapping, and mixed-endian capabilities for compatibility. The instruction set comprises around 140–190 instructions across categories like arithmetic/logical operations (e.g., ADD, SH1ADD for primitives), branches with delay slots, floating-point computations compliant with IEEE standards, and system control for multiprocessing and . Notable enhancements include multimedia acceleration (MAX-1 and MAX-2 extensions) and support for (SMP), memory-mapped I/O, and optional coprocessors for tasks like and performance monitoring. Historically, PA-RISC originated from ' Spectrum project under Joel Birnbaum (formerly of IBM's 801 RISC effort) and debuted in the Series 800 workstations in 1986, running . It saw peak adoption in the 1990s with processors like the PA 7100 (1991, 50 MHz) and PA 8500 (1998, up to 440 MHz), scaling to multi-processor systems for enterprise computing. By the early , collaborated with to transition to (IA-64), a VLIW architecture influenced by PA-RISC concepts, marking the beginning of its decline. completed its PA-RISC roadmap with the PA-8900 in 2005 and ceased sales of PA-RISC systems in 2008, with support for 11i v3 ending on December 31, 2025.

Overview

Definition and Origins

PA-RISC, also known as Precision Architecture, is a load/store Reduced Instruction Set (RISC) () developed by (HP). It emphasizes simplified instructions to enhance execution efficiency, distinguishing it from contemporary complex instruction set computing (CISC) designs. Development commenced in 1982 under HP's internal Spectrum program, which represented the company's largest effort to that point, aimed at creating a unified for diverse needs. The origins of PA-RISC stemmed from HP's strategic push to replace aging CISC-based systems, such as the business computer, with a more scalable and performant alternative suitable for both workstations and servers. By reducing instruction complexity, the architecture sought to minimize hardware overhead, enable faster pipelined execution, and support a broad spectrum of applications through optimized techniques and hardware simplicity. This motivation was driven by analyses of application workloads, which revealed that most operations could be handled efficiently with a smaller set of primitive instructions. PA-RISC was initially conceived as a 32-bit , with built-in for 64-bit extensions to accommodate future growth in addressable and demands. The first hardware implementation, the TS-1—a multi-board logic system—was introduced in , marking a key milestone in validating the design. At its core, PA-RISC incorporates three-operand instructions for flexible register-based operations, fixed-length 32-bit instruction encoding to simplify decoding, and branch delay slots to mitigate stalls and improve overall throughput. These principles aligned with emerging RISC philosophies, prioritizing simplicity and software optimization for .

Key Features and Design Principles

PA-RISC, developed by , incorporates space registers as a fundamental element of its virtual memory management, enabling efficient segmentation without relying on full lookaside buffers (TLBs) in initial implementations. The architecture employs eight space registers (SR0 through SR7) to define space identifiers that facilitate across privilege levels and addressing modes. These registers support the organization of into up to eight 4 segments, with each space using 4 pages, allowing for flexible and isolation in multiprogrammed environments. By concatenating a space register's contents with an offset from a general , effective addresses are formed, streamlining for intraspace and interspace operations. From its inception, PA-RISC was engineered to accommodate both 32-bit and 64-bit addressing, providing forward compatibility for evolving memory demands. The architecture natively handles 32-bit absolute and virtual addresses, while extending to 64-bit virtual addressing through mechanisms like space registers and functions such as sign_ext_64 and zero_ext_64 for manipulating 64-bit quantities in double-word formats. In PA-RISC 1.1, this support was enhanced with 64-bit integer operations, allowing general registers to process double-word data via paired 32-bit registers, with virtual page numbers ranging from 36 to 52 bits and physical pages of 20 bits. PA-RISC 2.0 further refined this by introducing full 64-bit registers and a flat 64-bit virtual address space when the Processor Status Word (PSW) wide-bit is set, enabling seamless handling of large address spaces up to 2^62 bits. A core design principle of PA-RISC emphasizes compiler-driven optimizations to exploit efficiency and minimize disruptions. Features like delayed branching execute an in the before the control transfer, reducing stalls by allowing useful work to fill gaps. Annulled (or nullified) instructions further support this by conditionally skipping execution based on outcomes, as seen in instructions like (branch long) with nullification completers, enabling compilers to schedule operations without intervention for condition resolution. These mechanisms, combined with support for static hints in later versions, prioritize software control over complex to achieve high throughput. PA-RISC introduces assist instructions to offload system-level and specialized tasks, integrating them with a modular execution model comprising five primary units: integer, load/store, floating-point, and two special function units (SFUs) for coprocessor tasks. Assist instructions handle system calls through mechanisms like GATEWAY for privilege transitions and RFI (return from interruption), triggering assist emulation traps if unsupported by hardware to allow software fallback. For floating-point operations, dedicated instructions such as FADD, FSUB, and FDIV operate via the floating-point unit or coprocessor, supporting single-, double-, and quad-precision formats with up to 32 64-bit registers. The SFUs extend this for performance monitoring and debugging, ensuring the architecture's scalability across integer, memory, and vector-like workloads while maintaining a clean load/store separation.

History

Early Development

In 1982, Hewlett-Packard launched the Spectrum program to develop a unified reduced instruction set computing (RISC) instruction set architecture (ISA) capable of supporting the company's diverse range of non-PC-compatible systems, from workstations to servers. This initiative, led by key architects including Joel Birnbaum and Ruby B. Lee, aimed to create a scalable "precision architecture" that emphasized compiler optimization, load/store operations, and single-cycle instruction execution to achieve high performance across varying hardware implementations. The program's focus on RISC principles allowed for simpler hardware designs while maintaining compatibility with existing HP software ecosystems. Initial prototyping efforts finalized the design of the TS-1 in 1984, a transistor-transistor logic ()-based implementation operating at 8 MHz with a three-stage , separate 64 KB and caches, and support for up to 128 MB of memory; hardware production occurred in 1986, serving as the foundational testbed for validating the 32-bit PA-RISC 1.0 . Subsequent implementations advanced fabrication technologies, transitioning from TTL to NMOS and then for lower power consumption and higher density. These iterations prioritized 32-bit integer and floating-point operations, achieving early benchmarks around 4.5 million () in simulations, and addressed core RISC tenets like register-rich designs and delayed branching. The first commercial release arrived in 1986 with the Series 840 workstation, powered by the TS-1 implementation across six boards and shipping initially in alongside an early version of , a UNIX variant compliant with System V interfaces. Priced at approximately $113,500 base, the system supported up to 112 MB of RAM and targeted and engineering applications. Development challenges centered on transitioning from bulky prototypes to compact VLSI processes, which required overcoming fabrication yield issues and optimizing for 32-bit data paths without compromising clock speeds or thermal management. This shift enabled cost-effective scaling while delivering superior floating-point throughput compared to contemporary CISC architectures.

Evolution and Version Milestones

The PA-RISC architecture originated with version 1.0 in 1986, establishing a baseline 32-bit (ISA) designed for load/store operations, fixed 32-bit instructions, and support for up to 64-bit virtual addresses, implemented initially in the TS-1 prototype processor. This foundational version emphasized simplicity, pipelining efficiency, and direct hardware implementation without or translation lookaside buffers (TLBs), focusing on absolute addressing and basic floating-point operations. In 1990, PA-RISC evolved to version 1.1, introducing key enhancements including multimedia extensions via fused multiply-add instructions like FMPYADD and FMPYSUB, and full compliance with floating-point standards for single-, double-, and quad-precision formats with configurable exception handling. These changes expanded the instruction set for better floating-point graphics clip tests and support, increased page sizes to 4 Kbytes, added virtual addressing with space identifiers and TLBs, and enabled cache-coherent I/O, while maintaining with 1.0 software. The PA-7000 series processors, released in 1991, were the first to implement this version, marking a shift toward broader application in workstations and servers. PA-RISC 2.0, introduced in , represented a major advancement to a full 64-bit with 32 general-purpose 64-bit registers and 32 floating-point registers, a flat 64-bit , and weak for improved . It incorporated MAX-2 SIMD instructions for parallel halfword operations (e.g., HADD, HSUB) to accelerate processing, along with enhanced branch prediction via a Branch Target Stack (BTS) for indirect branches and static/dynamic hints, while preserving unprivileged compatibility with prior versions through the standard. The PA-8000, a launched in , embodied these features as the first 2.0 . Standardization efforts began in 1992 with the formation of the Precision RISC Organization (PRO), an independent group led by to cross-license the architecture, develop compliance standards, and promote adoption beyond HP systems by partners like and . A significant milestone came in 2005 with the PA-8900, the final major update providing a 16% performance increase over predecessors and concluding HP's two-decade PA-RISC roadmap before the shift to .

Architecture

Instruction Set

The PA-RISC () employs a fixed 32-bit format to facilitate efficient decoding and execution, consisting of four primary fields: a 6-bit that specifies the operation, two or three 5-bit fields for and destination operands (depending on the type), and a variable-length immediate or field (typically 5, 11, 14, or 21 bits) for constants or addresses. This uniform structure supports a , where data processing occurs only in registers, and memory access is restricted to dedicated load and store . Instructions are categorized into several functional groups, emphasizing simplicity and single-cycle execution for common operations. Load and store instructions handle memory access, such as LDW (load word) and (store word) for 32-bit data, along with floating-point variants like FLDWX (load floating-point word indexed) and FSTDX (store floating-point double). Arithmetic operations include ADD and , which perform and with optional condition code updates for subsequent branches. Logical instructions encompass bitwise operations like AND and OR for manipulating contents. Floating-point instructions support single- and double-precision arithmetic, exemplified by (floating-point add) and FMUL (floating-point multiply), as well as FSQRT (floating-point ). Control instructions manage program flow, including BE (branch equal) for conditional jumps based on or values. Branch instructions incorporate optimization features to mitigate pipeline stalls, executing one delay slot instruction following the branch, with nullification bits (such as the PSW N-bit or ",n" completer) allowing selective skipping of this slot based on branch outcomes. This design, including types like unconditional branches (, ) and conditional variants (, ), enables compilers to fill delay slots productively while preserving semantic correctness. Special instructions address system-level and needs. BREAK triggers a trap for breakpoints or exceptions, while MTCTL (move to ) manages state. An assist mechanism provides privileged for operating system primitives, such as context switching or handling, executed via dedicated opcodes. The PA-RISC 1.1 specification defines approximately 190 in total, focusing on core RISC principles. PA-RISC 2.0 expands the instruction set with additional , introducing extensions (e.g., HADD for parallel halfword addition) and performance-oriented features like the MAX category, including FMPYADD for fused multiply-add operations that combine multiplication and addition in a single to reduce in floating-point computations. These additions maintain the 32-bit format while enhancing support for vector-like processing and fused operations.

Registers and Memory Model

The PA-RISC architecture employs a consisting of 32 general-purpose registers (GR0 through GR31), which are 32 bits wide in the PA 1.x versions and extended to 64 bits in PA 2.0 to support larger spaces and operations. GR0 is hardwired to zero and cannot be modified, serving as a constant source for computations, while GR31 functions as the stack pointer in standard calling conventions. These registers handle arithmetic, logical operations, and calculations, with instructions typically using them in a load-store manner. Floating-point operations utilize 32 dedicated registers (FR0 through FR31), each 64 bits wide, allowing paired usage for single-precision (32-bit) or double-precision (64-bit) floating-point values; in PA 2.0, they also support quad-precision (128-bit) formats via even-odd . FR0 incorporates status and exception fields for modes, trap enables, and configuration, enabling efficient handling of floating-point exceptions without dedicated control registers. Special-purpose registers include eight space registers (SR0 through SR7), which store space identifiers comprising 14-bit protection IDs and base address components to enforce and segmentation in virtual addressing. Instructions select these via a 3-bit 's' field for memory references, with SR0 reserved for return space IDs during inter-space branches and SR4–SR7 typically zeroed in kernel mode for short addressing. Control registers (CR0 through CR31 in PA 2.0, with CR0–CR7 core in PA 1.x) manage system state, including CR0 for the recovery counter, CR8–CR13 for protection IDs, CR11 for shift amounts, , and CR16 for the interval timer, facilitating handling and privilege-level transitions. The memory model is big-endian by default, with an optional little-endian mode via the processor status word (PSW) E-bit, and organizes memory as a flat segmented only by the eight space registers to provide isolation without traditional segmentation. In PA 1.x, it supports a 32-bit virtual address space per segment (up to 4 ), while PA 2.0 expands to 64-bit addressing (up to 16 exabytes) with the PSW W-bit enabled, using a two-level paged structure managed by a (TLB) and hashed page tables for 4 KB to 64 MB pages. Physical addresses extend to 44 bits in early implementations but scale to 64 bits, with access rights, reference bits, and cacheability controlled per page entry. Supported data types encompass signed and unsigned integers in 8-bit (byte), 16-bit (halfword), 32-bit (word), and 64-bit (doubleword) formats using for signed values, alongside single-, double-, and quad-precision floating-point types. PA 2.0 introduces packed formats, including 16-bit integers for and packed (up to 31 BCD digits) for applications, enhancing efficiency in vector-like operations without altering the core register width.

Implementations

First-Generation Processors

The first-generation PA-RISC processors encompassed implementations of the 32-bit PA-RISC 1.0 and 1.1 instruction set architectures, transitioning from multi-chip designs to single-chip VLSI solutions in technology. These early chips focused on establishing the architecture's viability for workstations and servers, emphasizing load/store design principles with separate integer and floating-point units. They featured in-order execution pipelines, with performance scaling from single-issue to basic superscalar capabilities in later variants. The inaugural implementation was the TS-1 processor, released by in 1986 as a prototype for PA-RISC 1.0. Operating at 8 MHz, it utilized discrete logic across six boards (totaling approximately 900 integrated circuits), with no on-chip and an external 128 KB combined instruction/data . This multi-board design supported a 27-bit space (128 MB maximum memory) and was deployed in early Series 840 servers for testing the architecture's RISC principles, including fixed-length instructions and delayed branching. While not a single-die solution, it laid the groundwork for subsequent VLSI integrations. Following the TS-1, introduced the CS-1 and RS-1 in 1986 as the first very-large-scale integration (VLSI) implementations of PA-RISC 1.0, marking a shift to more efficient NMOS and processes. Clocked at 10 MHz, these processors integrated a (FPU) on-chip for the first time, enabling basic scientific computing workloads alongside integer operations. The CS-1 handled control functions, while the RS-1 managed register storage, together forming a that reduced board count compared to the TS-1 and improved power efficiency over designs. These chips supported early systems and validated PA-RISC's media compatibility features, such as branch delay slots. Advancing to higher performance, the PA7100 family represented a major milestone in first-generation PA-RISC 1.1 processors, debuting in 1992. Fabricated on a 0.8 μm process with about 850,000 transistors, the PA7100 ran at 60 MHz in a 504-pin package, featuring a 5-stage for in-order execution and off-chip level-1 caches (configurable up to 1 MB and 2 MB data, 64-bit wide). It integrated ALU and FPU units, capable of issuing one or floating-point per , with a 120-entry fully associative TLB for management. Power consumption was approximately 20 W at 100 MHz variants, balancing performance for mid-range servers. The successor PA7200, introduced in 1994 at 75 MHz (and up to 120 MHz), enhanced this with two-way superscalar execution, allowing dual dispatch (one , one floating-point) per while maintaining in-order completion. It retained the 5-stage but added support for 2 loads and 1 store per via dedicated pipes in the load/store unit, improving for database and simulation applications. Caches remained off-chip at 8 KB and 64 KB data minimum configurations, with a die size of 14 mm × 14 mm. A low-cost derivative, the PA7100LC, arrived in 1994 to target and entry-level systems while upholding PA-RISC 1.1 . Clocked at up to 80 MHz (with 100 MHz options) on a 0.75 μm process, it integrated 1 KB on-chip instruction , a memory/I/O controller, and bi-endian support as the first in the family, alongside MAX-1 extensions for audio/video processing. The mirrored the PA7100's two-way superscalar, 5-stage and in-order execution but added on-chip unification for reduced system cost, with external expandable to 2 . Featuring 900,000 transistors in a 14.2 mm × 14.2 mm die and 432-pin package, it achieved 64-bit buses for 480-600 /s throughput, making it suitable for cost-sensitive workstations.
ProcessorYearClock (MHz)ProcessKey FeaturesExecution Model
TS-119868 (multi-board)External 128 I/D , no FPU integrationSingle-issue, in-order
CS-1/RS-1198610NMOS/Integrated FPU, first VLSI chipsetSingle-issue, in-order
PA71001992600.8 μm Off-chip caches (up to 1 I/2 D), integrated ALU/FPUSingle-issue, in-order, 1 load/store per cycle
PA72001994750.8 μm Off-chip 8 I/64 D min caches, 2 loads/1 store per cycleTwo-way superscalar, in-order
PA7100LC1994800.75 μm Integrated 1 I-cache + MIOC, bi-endian, extensionsTwo-way superscalar, in-order, 1 load/store per cycle

Second-Generation Processors

The second-generation PA-RISC processors implemented the PA-RISC 2.0 , which extended the original design with 64-bit integer and floating-point support, enhanced privilege levels, and improved media instructions to enable more efficient . These processors shifted to sophisticated microarchitectures, starting with the PA-8000 family in 1996, emphasizing superscalar designs for enterprise servers and workstations. The PA-8000, released in 1996, was Hewlett-Packard's first 64-bit PA-RISC 2.0 , featuring a four-way superscalar core with managed by a 56-entry reorder that allowed reordering of up to four . Fabricated on a 0.5 μm process with 3.8 million transistors on a 17.7 mm × 19.1 mm die, it operated at clock speeds of 160–180 MHz and connected to a high-bandwidth bus. Cache configuration included separate 1 MB and data L1 caches on-chip, with an optional off-chip 1 MB L2 ; at 180 MHz, it achieved 11.8 SPECint95 and 20.2 SPECfp95. An interim upgrade, the PA-8200 (PCX-U+), was introduced in 1997 at 200–300 MHz on the same 0.5 μm process. It added an integrated cache controller and improved over the PA-8000, with similar four-way superscalar and 1 MB L1 caches per type on-chip, supporting external up to 2 MB for better hit rates in applications. Succeeding the PA-8000, the PA-8500 and PA-8600 processors, introduced in 1998 and 1999 respectively, refined the with dual integer execution units for better throughput on integer workloads and enhanced branch prediction using a two-level adaptive predictor to reduce misprediction penalties. Both were built on a 0.25 μm process with 140 million transistors and a die size of 21.3 mm × 22.0 mm; the PA-8500 reached up to 300–440 MHz, while the PA-8600 scaled to 400–550 MHz on the same DDR bus at 2 GB/s peak . They incorporated 0.5 MB instruction and 1 MB data L1 caches on-chip, paired with a 2 MB off-chip cache, enabling higher clock rates and improved over the PA-8000 in environments. The PA-8700 and PA-8800, launched in 2001 and 2003, further evolved the design with deeper pipelines and larger to support gigahertz clock speeds, including external L3 support in the PA-8700 for reduced in multiprocessor systems. The PA-8700 used a 0.18 μm silicon-on-insulator process, operating at 750 MHz with 2.25 MB on-chip L1 (0.75 MB + 1.5 MB ), while the PA-8800 adopted a 0.13 μm process for dual-core configurations at 900 MHz–1 GHz, attaching to the faster bus at 6.4 GB/s and incorporating simultaneous multithreading-like features for better resource utilization across threads, with 1.5 MB L1 (0.75 MB + 0.75 MB ) per core and shared off-chip L2/L3 . These models prioritized scalability in setups, with the PA-8800's dual cores sharing resources to boost overall system throughput. The final high-end model, the PA-8900 introduced in 2005, represented the pinnacle of PA-RISC 2.0 implementations as an eight-way superscalar dual-core at 1.0–1.1 GHz on a 0.13 μm with 317 million transistors and a 23.6 mm × 15.5 mm die. It featured expanded caching with 3 MB total L1 (0.75 MB instruction and 0.75 MB data per core) and a massive 64 MB shared L3 cache to handle demanding enterprise workloads, connecting via the 200 MHz 2 bus; this configuration delivered approximately 16% higher performance than the PA-8800, though formal SPECint95 scores reached around 25 in optimized configurations. The PA-8900 served as HP's last major PA-RISC upgrade before transitioning to . Third-party implementations included Hitachi's HA8000 series in 1997, which integrated PA-RISC 2.0 cores into custom server designs for markets, and OKI's variants like the OP32/50N developed in the mid-1990s for low-power applications, adapting the architecture for specialized control systems without the full superscalar complexity of HP's high-end chips.
ProcessorRelease YearClock Speed (MHz)Process (μm)Key Microarchitecture FeaturesCache Configuration
PA-80001996160–1800.5 4-way superscalar, out-of-order, 56-entry reorder buffer1 MB I + 1 MB D L1, optional 1 MB
PA-85001998300–4400.25 Dual integer units, improved branch prediction0.5 MB I + 1 MB D L1, 2 MB
PA-86001999400–5500.25 Enhanced PA-8500 core, higher frequency scaling0.5 MB I + 1 MB D L1, 2 MB
PA-870020017500.18 SOI Deeper pipeline, support for 0.75 MB I + 1.5 MB D L1 on-chip (2.25 MB total), external /
PA-88002003900–10000.13 Dual-core, SMT-like threading, bus1.5 MB L1 per core (0.75 MB I + 0.75 MB D), shared off-chip /
PA-890020051000–11000.13 8-way superscalar dual-core, massive 3 MB L1 total + 64 MB

Ecosystems and Usage

Hardware Platforms

The HP 9000 series represented the primary line of workstations and servers built around PA-RISC processors, spanning from the mid-1980s through the 2000s. Early models in the Series 800, such as the 840, 850, and 860 introduced between 1986 and the early 1990s, were deskside servers targeted at business and technical computing environments, featuring single-processor configurations with PA-RISC 1.0 chips like the TS-1 and NS-series, up to 256 MB of , and CIO bus expansion for I/O connectivity. In the 1990s, the Series 700 and 800 workstations, including models like the 712 and 715, shifted toward desktop designs for engineering and scientific workloads, supporting PA-RISC 1.1 processors such as the PA-7100 at clock speeds up to 100 MHz, with 64-256 MB and optional accelerators. Later in the series, the Visualize workstations, such as the J200 and J500 released in the late , catered to graphics-intensive applications with PA-RISC 2.0 processors like the PA-7200 (up to 120 MHz) and PA-8500 (440 MHz), offering 1-2 way scalability, up to 8 GB RAM, and enhanced 3D visualization capabilities. For enterprise needs, the rp-class models, exemplified by the rack-mountable rp8400 from the early , provided higher with up to 16 PA-RISC 2.0 processors (PA-8800/PA-8900), 64 GB RAM, and support for deployments in mission-critical environments. The series focused on business-oriented servers optimized for , utilizing PA-RISC processors starting in the 1990s. Models in the 9x series, including the 918 LX/RX, 928 LX/RX, 968 LX/RX, 978 LX/RX, and 988 LX/RX, employed single-chip CMOS PA-RISC CPUs with integrated floating-point units, delivering relative performance from 1 to 3.9 units and clock cycles as low as 10.4 ns in higher-end variants, alongside expandable up to 512 MB and integrated storage options like DDS tape drives. These air-cooled, deskside systems supported small to medium business applications with 2-4 I/O slots for expansion. Into the , the e3000 lineup evolved these designs for departmental use, maintaining PA-RISC compatibility while enhancing reliability for remote office and enterprise workloads. High-end SMP systems in the PA-RISC ecosystem included the Superdome servers, introduced in 2000 as scalable platforms for demanding . These utilized PA 2.0 processors such as the PA-8800 and PA-8900 (up to 1.1 GHz dual-core), supporting up to 128 CPUs in a cache-coherent (ccNUMA) architecture across single or dual cabinets, with modular boards enabling 4-128 processor configurations and up to 1 TB of via DIMMs. Hard partitioning (nPars) allowed division into up to 16 independent systems, each scalable to 64 processors, providing high I/O up to 32 GB/s for large-scale database and simulation tasks. Overall, PA-RISC platforms demonstrated scalability from single-processor workstations to 128-way servers, with some configurations extending to 256 processors in clustered setups. PA-RISC also found use in embedded applications, particularly through variants integrated into peripherals. Specialized implementations powered control systems in printers and medical devices, enabling reliable processing for imaging and diagnostic equipment during the 1990s and early 2000s.

Software and Operating Systems

The primary operating system for PA-RISC systems was , a OS developed by starting in the to support early PA-RISC processors. version 7.0, released in late 1989, marked the first major release to support PA-RISC alongside older architectures, enabling unified software environments across 's hardware lines. Subsequent versions evolved significantly, with 10.20 in 1996 adding support for 64-bit PA-RISC 2.0 processors, and 11i v3 released in 2007 providing the final major update for PA-RISC, focusing on enhanced security and features. Another key OS was MPE/iX, a proprietary, multi-programming executive system tailored for the business servers, which ran on PA-RISC hardware in and emphasized COBOL-based enterprise applications. Open-source operating systems expanded PA-RISC's software ecosystem in the late . Linux support began with initial ports around 1998 by the Puffin Group, leading to official inclusion in as the hppa starting with Debian 3.0 in 2002 and continuing until Debian 6.0 in 2010. provided PA-RISC support through its hppa port, initially targeting 32-bit Series 700 workstations and achieving stable integration around 2005. followed suit with its hppa port in the early 2000s, offering robust security features for both 32-bit workstations and select 64-bit servers running in 32-bit mode. These ports supported PA-RISC 1.x and 2.0 s, enabling broader adoption in research and hobbyist communities. As of 2025, the /hppa port remains actively maintained in NetBSD 11.0, and the /hppa port supports releases up to OpenBSD 7.8 (October 2025), continuing support for PA-RISC in research and hobbyist environments. PA-RISC systems maintained strong binary compatibility across processor versions and OS releases. ensured forward and between PA-RISC 1.1 and 2.0 through options like +DAportable, which generated portable executable on both architectures. Additionally, supported nPartitions (nPAR), a partitioning that allowed multiple independent OS instances to run on a single server by allocating dedicated processors, memory, and I/O resources. Development tools for PA-RISC were comprehensive, with HP's aC++ compiler providing advanced optimizations tailored to the architecture, including multiple levels of code generation for performance tuning on PA-RISC 1.1 and 2.0. By 1998, GNU tools such as GCC had been ported to PA-RISC, supporting ELF binaries and enabling open-source development workflows. Later, Java received official support on HP-UX PA-RISC platforms, with versions up to Java 7 certified for HP-UX 11i, though .NET Framework adoption remained limited due to the Unix-centric environment. The transition to in 1996 presented challenges, particularly with HP-UX's shift to support PA-RISC 2.0 processors. This required mixed-mode execution, where 32-bit applications could run alongside 64-bit ones using modes like narrow mode (+DA2.0N compiler option) for compatibility, but developers faced issues with pointer sizes and library linking during .

Legacy

End of Support

In 1994, Hewlett-Packard announced a strategic partnership with to develop the processor architecture (), positioning PA-RISC as an interim solution during the transition to this new platform. HP ceased development of new PA-RISC processor designs after the introduction of the PA-8900 in , marking the final upgrade in the architecture's roadmap. Shipments of PA-RISC-based systems, such as the series featuring the PA-8900, continued until early 2010, with the last order date set for December 31, 2008, and the final delivery on April 1, 2010. No further hardware production occurred after this period. Software support for PA-RISC, particularly through , extended to standard patches until December 31, 2015, covering versions like 11i v1 and v2. For 11i v3, standard support ends on December 31, 2025, with the final Update Release (OEUR) available as of 2025; extended mature support is available until December 31, 2028. To facilitate decommissioning, urged customers to migrate from PA-RISC hardware to Integrity servers (Itanium-based) by 2010, providing tools such as the dynamic binary translator emulator, which enabled transparent execution of PA-RISC applications on without recompilation. Following the end of support in 2013 for earlier versions, hardware production remained halted, but unofficial community efforts emerged, including open-source operating systems and projects to sustain legacy PA-RISC software on modern platforms.

Influence and Successors

PA-RISC was one of the early adopters of 64-bit RISC architectures in commercial computing systems, with introducing support through the PA-RISC 2.0 specification in the mid-1990s, which extended the original 32-bit design to support full 64-bit integers, addresses, and spaces. This transition enabled scalable systems handling up to 15 exabytes of physical memory, influencing the robustness of by providing a stable foundation for high-end Unix servers and workstations that integrated seamlessly with the operating system's and performance optimizations. As successors, PA-RISC systems were directly replaced by the () architecture starting in 2001, a joint HP-Intel effort designed to supplant PA-RISC in enterprise servers while maintaining compatibility through . HP's dynamic binary translator facilitated this shift by converting PA-RISC instructions to native code on-the-fly, allowing legacy applications to run with minimal performance degradation on new hardware. Legacy PA-RISC applications persisted via emulation on Itanium-based HP Integrity servers until formal support ended in , with third-party solutions extending usability into the for critical workloads. Open-source efforts, led by the HPPA Linux community at parisc-linux.org, have preserved PA-RISC software ecosystems, including ports of , , and , ensuring ongoing compatibility for archival and niche deployments. In broader impact, PA-RISC advanced processing in servers prior to the dominance of x86 architectures, with its MAX-1 extensions in PA-RISC 1.1 enabling real-time MPEG video decoding at 30 frames per second using software alone on general-purpose processors, without dedicated hardware. Lessons from PA-RISC's implementation of , which improved instruction throughput in RISC designs, informed subsequent architectures like later processors that adopted similar techniques for enhanced performance in embedded and mobile systems. Post-2020, no hardware revivals of PA-RISC have occurred, though interest in retro computing persists through emulators like , which support full PA-RISC workstations for preservation and historical study.

References

  1. [1]
    PA-RISC: HP's RISC Architecture of the 1990s - OpenPA
    PA-RISC is a Reduced Instruction Set Computing (RISC) architecture from HP developed during the 1980s and sold until the early 2000s.
  2. [2]
    [PDF] PA-RISC 1.1 Architecture and Instruction Set Reference Manual
    ... Overview ... The mixed endian capability enables the PA-RISC architecture to be compatible with systems which offer little endian as well as systems which ...
  3. [3]
    Technical Documentation - Linux on PA-RISC documentation
    PA-RISC Papers and Presentations. PA-RISC 8x00 Family of Microprocessors with Focus on PA-8700: Summary of PA-RISC architecture and implementations up to PA- ...
  4. [4]
    HP Completes Its PA-RISC Road Map With Final Processor Upgrade
    Jun 7, 2005 · The new PA-8900 processor, which provides a 16 percent performance boost over previous technology, completes HP's PA-RISC road map.
  5. [5]
    HP-UX End of Life Support Timeline & 2025 Migration Options
    The latest release, HP-UX 11i v3 (B.11.31) will reach end of life on 31st December 2025. This implies that the standard HP-UX end of life support for the ...
  6. [6]
    The First RISC Chip - Hewlett-Packard Historical Archive
    Hewlett-Packard's first use of reduced instruction set computing (RISC) required the company's biggest R&D project ever to that point. Codenamed Spectrum ...Missing: PA- development 1982 program
  7. [7]
    [PDF] J@URNUAL - The Hewlett Packard Archive
    Aug 1, 1986 · The HP Precision Architecture development program, known within HP as the Spectrum program, is the largest system development program ever.
  8. [8]
    [PDF] PA-RISC 2.0 - Index of /
    The purpose of a processor architecture is to define a stable interface which can efficiently couple multiple generations of software investment to successive ...
  9. [9]
    (PDF) HP Precision: a spectrum architecture - ResearchGate
    The author discusses the Hewlett-Packard Precision architecture, which was designed as a common architecture for HP computer systems. It has a RISC ...
  10. [10]
    [PDF] Ruby B. Lee - Princeton University
    HP Precision: A SPECTRUM Architecture. Proceedings of the 22nd. Hawaii. International Conference on System Sciences, Vol. 1 Architecture Track, pp. 242-25x ...
  11. [11]
    Early PA-RISC Processors TS-1, NS-1, NS-2, PCX - OpenPA
    TS-1 was the very first PA-RISC production processor from HP, introduced in 1986. It implemented version 1.0 of PA-RISC on six 8.4×11.3″ boards of TTL and was ...Missing: development Spectrum 1982 prototype
  12. [12]
    [PDF] Building new muscle - HP
    The first shipment of the. Spectrum program systems was celebrated with fanfare. including a press confer ence, a program featuring. HP President John Young.Missing: origins | Show results with:origins
  13. [13]
    The first HP 9000 840 PA-RISC Server - OpenPA
    HP 9000 840 achieved about 4.5 MIPS and originally shipped in 1986 with HP-UX 1.0, heavily BSD-based Unix, supported until HP-UX 10.10 in 1995. up. Operating ...
  14. [14]
    [PDF] hewlett-packard - World Radio History
    The HP-UX implementation on the Model 840 pro vides all of the functionality needed for full support of both computer integrated manufacturing (CIM) and design.
  15. [15]
    [PDF] PA-RISC 8x00 Family of Microprocessors with Focus on PA-8700 ...
    The architecture also provides a flat. 64-bit virtual address space and can support physical addresses greater than 32 bits. These changes enabled customers to.
  16. [16]
    [PDF] Semiconductor buyer's edge, 1993-1994
    • The Precision RISC Organization (PRO) was formed in March to cross-. Hcense PA-RISC technology and develop standards and compliance- testing technologies ...
  17. [17]
    HP set to debut last in-house chip - CNET
    May 25, 2005 · ... PA-8900 will be launched May 30. The PA-8900--like the Alpha EV7z introduced last year--is the final member of an HP chip family the company ...
  18. [18]
    PA-RISC Processor Registers
    PA-RISC Processor Registers¶. Register usage for Linux/PA-RISC is documented in the Linux kernel source tree in the file Documentation/parisc/registers ...
  19. [19]
    PA-RISC Space Registers and How to use them
    There are two types of instruction which reference memory; those with 2-bit ' s ' fields and those with 3-bit ' s ' fields. Instructions with a 3-bit s field ...
  20. [20]
    PA-RISC PA-7100 and PA-7150 Processors - OpenPA
    The PA-7100 is a 32-bit PA-RISC processor, implementing version 1.1b of the PA-RISC architecture. It is multi-processor capable (SMP) and two-way superscalar, ...Missing: generation TS- CS- RS- PA7100LC
  21. [21]
  22. [22]
    [PDF] Design of the HP PA 7200 CPU - Index of /
    Feb 1, 1996 · The PA 7200 CPU achieves a quasi-single-cycle write: a series of N writes requires N+1 cycles. The one-cycle overhead is required for turning ...Missing: TS- CS-
  23. [23]
    PA-RISC PA-7100LC Processor – OpenPA.net
    PA-7100LC is a 32-bit HP PA-RISC processor introduced in 1994, designed as a single-chip solution for low-cost systems with the performance of contemporary ...Missing: generation TS- CS- RS-
  24. [24]
  25. [25]
    [PDF] The HP PA-8000 RISC CPU - Hot Chips
    Aug 19, 1996 · Completely redesigned core/new microarchitecture. 56 Entry Instruction Reorder Buffer (IRB). Peak execution rate of 4 instructions/cycle.
  26. [26]
    HP PA-RISC PA8000 CPU
    From its inception in 1986, PA-RISC was designed to extend well into the next century. HP designed PA-RISC in a simplified, modular fashion to accommodate ...<|separator|>
  27. [27]
    PA-RISC PA-8000 Processor – OpenPA.net
    PA-8000 was the first 64-bit PA-RISC 2.0 processor by HP, released in 1996. It was four-way superscalar, had out-of-order execution capabilities, 64-bit integer ...Missing: Hitachi HA8000
  28. [28]
    PA-8500 PA-RISC Processor - OpenPA
    Speed and buses​​ PA-8500 processors were fabbed with up to 440 MHz clock speed at 2.0 V core voltage. They attach to Runway DDR bus, 64-bit, 125 MHz, 2 GB/s ...Missing: specs | Show results with:specs
  29. [29]
    PA-RISC PA-8600 Processor – OpenPA.net
    PA-8600 processors were fabbed with up to 550 MHz clock speed at 2.0 V core voltage. They attach to Runway DDR bus, 64-bit, 125 MHz, 2 GB/s peak bandwidth.Missing: specs | Show results with:specs
  30. [30]
    PA-8700 PA-RISC Processor - OpenPA
    PA-8700 was an 64-bit HP PA-RISC processor from HP, released in 2001 building on an enhanced PA-8500 core with several modifications.
  31. [31]
    PA-RISC PA-8800 Processor – OpenPA.net
    PA-8800 processors were fabbed with up to 1 GHz clock speed at 1.5 V core voltage. They attach to Itanium processor bus, 128-bit, 200 MHz, 6.4 GB/s bandwidth.
  32. [32]
    HP Reveals Details on PA-8700 Chip -- Enterprise Systems - ESJ
    The PA-8700 employs a .18 micron, silicon-on-insulator copper CMOS process. That process allows for 2.25 MB of on-chip cache (the largest of any microprocessor) ...
  33. [33]
    PA-RISC PA-8900 Processor – OpenPA.net
    It was released by HP in 2005, one year after the PA-8800 as the last PA-RISC processor in HP's two-decades long RISC lineup.
  34. [34]
  35. [35]
    HP Completes Its Pa-Risc Road Map With Final Processor Upgrade
    Jun 10, 2005 · HP was the first hardware vendor to bring out a RISC chip, releasing it in 1986, and PA-RISC&#8212;which powered HP Unix-based servers for high- ...
  36. [36]
    Third-Party PA-RISC Processors from Hitachi, Winbond, OKI - OpenPA
    OKI developed the OP32/50N, a PA-RISC processor, through its OKI Semiconductor business unit in the mid-1990s. OP32 was an embedded controller introduced in ...Missing: implementations | Show results with:implementations
  37. [37]
    Hitachi Enhances Line-up of PC Servers and Releases "Hitachi ...
    Jun 30, 1998 · The HA8000 series is equipped with Pentium (R) II processors, including the latest Intel Pentium (R) II Xeon TM Processor (400 MHz).Missing: PA- RISC
  38. [38]
    HP 9000 PA-RISC Workstations and Servers - OpenPA
    HP 9000 and PA-RISC computers were mostly used between the 1980s and 2000s for Unix technical computing, design and analysis and were sold over a long timeline.Missing: EPOC | Show results with:EPOC
  39. [39]
    HP 3000 Series 918 LX/RX, 928 LX/RX, 968 LX/RX, 978 LX/RX, 988 ...
    All HP 3000 900 Series servers use HP Precision Architecture-RISC (PA-RISC) to achieve high performance and reliability at a low cost. PA-RISC is based on ...
  40. [40]
    [PDF] Meet the HP Superdome servers - filibeto.org
    ... HP-UX operating system is compatible with the full HP Server line. The HP 9000 Superdome running the PA-RISC chip family supports HP-UX 11i v1. The HP Integrity.
  41. [41]
    HPE Integrity Servers - Wikipedia
    ... PA-RISC and Itanium 2 CPUs. The 10U rx7640 is based on the SX2000 chipset which supports both PA-RISC and Itanium 2 CPUs. Maximum of 2 cell boards; 4 CPU ...
  42. [42]
    HP-UX Unix on PA-RISC Computers - OpenPA
    First developed by HP during the 1980s for early PA-RISC servers and predecessors, last HP-UX 11i from the 2000s runs on most PA-RISC 1.1 and 2.0 and Itanium 2 ...
  43. [43]
    Older HP-UX Unix on PA-RISC - OpenPA
    HP-UX 7 was released in late 1989 and supported both HP 9000 Motorola 68000 and 800 PA-RISC systems for the first time: a major goal of HP-UX 7.0 was to ...
  44. [44]
    HP-UX 10.20 on PA-RISC – OpenPA.net
    HP-UX 10.01 was the last Unix to officially support 1980s PA-RISC 1.0 computers while HP-UX 10.10 was a feature-only release. HP-UX was eventually extended ...
  45. [45]
    HP-UX 11i PA-RISC – OpenPA.net
    HP-UX 11i v3 was released in 2007 and supported 64-bit PA-RISC servers and Itanium 2 servers. Support for many other older servers was dropped, including some ...Hp-Ux 11i V1. 5 (11.20) And... · Hp-Ux 11i V1 (11.11) · Documentation
  46. [46]
    [PDF] Getting Started as an MPE/iX Programmer Programmer's Guide
    MPE V software can be run on the PA-RISC (Series 900) HP 3000s in what is known as compatibility mode. Getting Started as an MPE/iX Programmer is a manual ...
  47. [47]
    MPE/iX - COBOL and MPE Intrinsics - HPE Support
    This article will describe the COBOL II interface with these intrinsics, how to interpret the intrinsics manual for COBOL II use, and finally will recommend ...Missing: PA- RISC
  48. [48]
    PA-RISC Operating Systems History - OpenPA
    The OSF Open Group Research Institute ported OSF/1 to PA-RISC in the mid-1990s as research project, focusing on 32-bit HP 9000 700 workstations and servers.
  49. [49]
    Debian -- PA-RISC Port
    Apr 4, 2025 · HPPA became an officially supported Debian architecture in release 3.0 (woody), and was dropped as of stable release 6.0 (squeeze).
  50. [50]
    NetBSD/hppa on PA-RISC Computers – OpenPA.net
    NetBSD is a free, open source Unix-like operating system with support for PA-RISC 1.1 32-bit computers in its NetBSD/hppa port since around 2005.
  51. [51]
    OpenBSD/hppa on PA-RISC Computers – OpenPA.net
    OpenBSD is an Unix-like open source operating system for PA-RISC and supports 32-bit HP 9000 workstations and some 64-bit computers.
  52. [52]
    PA-RISC Operating Systems and Unix – OpenPA.net
    Popular HP-UX 10.20 has broad 32-bit (and Y2K) support and there were many older HP-UX versions since the 1980s: HP-UX 1.0 to 7.0, HP-UX 8.0 and 9.0.
  53. [53]
    HP-UX Linker and Libraries User's Guide - filibeto.org
    Using the +DAportable compiler option provides compatibility of code between PA-RISC 1.1 and 2.0 systems. Note that the HP-UX 10.10 release is the last ...Missing: EPOC binary
  54. [54]
    [PDF] HP System Partitions Guide - Administration for nPartitions
    This book describes nPartition system administration procedures, concepts, and principles for the HP servers that support nPartitions. This preface has the ...
  55. [55]
    [PDF] HP C++ Programmer's Guide - Bitsavers.org
    Object code generated for PA-RISC 1.1 will not execute on. PA-RISC 1.0 systems. ... The HP C++ compiler provides levels of optimization options to the CC command, ...
  56. [56]
    The Utah PA-RISC Code Snapshot
    Even though we no longer do PA-RISC work, and the Open Group is dead and gone, free software is still alive and well on the PA-RISC! There is currently active ...<|separator|>
  57. [57]
    HP-UX PA-RISC Client Requirements - IBM
    Jun 17, 2018 · Java GUI and Web backup-archive client require: Java JRE 1.4.x (where x >=1), or Java JRE 5. Web backup-archive client also requires:.
  58. [58]
    Support for Java 7 on HP-UX 11i PA-RISC based systems
    It is a major issue for our software which runs on Java 1.7. Without this support we cannot claim support for HP-UX on PA-RISC machines. There is no information ...
  59. [59]
    Hewlett-Packard Outlines 64-bit Transition Strategy - HPCwire
    Jul 26, 1996 · The company said its strategy is to enable customers of its HP-UX version of Unix to move from the current 32-bit computing environment to a 64- ...Missing: mixed- | Show results with:mixed-
  60. [60]
    [PDF] HP-UX 64-bit Porting and Transition Guide - filibeto.org
    PA-RISC 2.0 narrow mode programs only run on PA-RISC. 2.0 systems. The compiler command line option for this mode is +DA2.0 or +DA2.0N. (+DA means destination ...Missing: 1996 | Show results with:1996
  61. [61]
    Itanium: A cautionary tale - CNET
    Dec 7, 2005 · On June 8, 1994, Hewlett-Packard and Intel announced a bold collaboration to build a next-generation processor called Itanium, ...Missing: transition | Show results with:transition
  62. [62]
    Solved: PA-RISC and HP-UX support life - Hewlett Packard ...
    Both HP-UX 11i v1 and v2 have a end of support date of 12/31/2013. Version 11i v3 is due out this year, but we don't know the end of support date yet. HP is ...
  63. [63]
    Solved: PA-RISC & Itanium and 11.23 - HPE Community
    Jul 14, 2010 · As for 11.23, factory support will continue until December 2013 although you will not be able to purchase the release after December 2010. > To ...
  64. [64]
    HP offers path from HP 9000 to Integrity systems - Computerworld
    Sep 27, 2010 · Hewlett-Packard has released new software to help customers move off of their aging HP 9000 servers and onto its newer Integrity systems.
  65. [65]
    [PDF] Aries: Transparent Execution of PA-RISC Applications on IA-64
    As the only PA-RISC to IA-64 software emulator available, Aries transparently and effectively emulates all user-level applications built for HP-UX/PA-RISC ...
  66. [66]
    What´s New? - HP-UX Porting and Archive Centre
    Due of the imminent end of life of the HP-UX PA-RISC platform, all PA-RISC packages are now frozen on the archive and are marked as "deprecated", meaning we ...
  67. [67]
    HP Completes Its Pa-Risc Road Map With Final Processor Upgrade
    Jun 10, 2005 · HP will support servers running PA-RISC chips until 2013 but will stop selling the latest HP 9000 systems in 2008, said Brian Cox, director of ...
  68. [68]
    Intel's Itanium, once destined to replace x86 processors in PCs, hits ...
    May 11, 2017 · HP was looking to replace its aging PA-RISC with a modern 64-bit server chip that could run legacy OSes like Unix. At the time, Intel wanted ...
  69. [69]
    HP gooses Integrity server virt with PA-RISC emulation - The Register
    Sep 27, 2010 · That emulator, called Aries and technically a dynamic binary translation layer that converts PA-RISC calls to Itanium calls, has been around ...
  70. [70]
    PA-RISC - Wikipedia
    RISC (PA-RISC) or Hewlett Packard Precision Architecture (HP/PA or simply HPPA), is a general purpose computer instruction set architecture (ISA)
  71. [71]
    The Future of PA-RISC Hardware in Modern Computing - Stromasys
    A Brief Overview of PA-RISC Architecture. Basically, PA-RISC is the Reduced Instruction Based Computing architecture developed by HP. For example, HP 9000 ...
  72. [72]
    PA-RISC Linux on HP 9000 Computers - OpenPA
    Debian included PA-RISC Linux from 2002 until 2012 as Debian/hppa in various releases from 3.0 to 4.0. The Debian version of PA-RISC Linux is available in the ...
  73. [73]
    (PDF) 64-bit and Multimedia Extensions in the PA-RISC 2.0 Architecture
    ### Summary of PA-RISC 2.0 Multimedia Extensions and Significance
  74. [74]
    Out-of-order execution - Wikipedia
    The practically attainable per-cycle rate of execution rose further as full out-of-order execution was further adopted by SGI/MIPS (R10000) and HP PA-RISC (PA- ...Missing: influence | Show results with:influence
  75. [75]
    Emulating HP-UX using QEMU - OSnews
    Dec 28, 2024 · PA-RISC emulation has been included in QEMU since 2018. ... With QEMU, a full HP Visualize B160L and C3700 workstation can be emulated to run PA- ...