Fact-checked by Grok 2 weeks ago

ARM Cortex-X4

The ARM Cortex-X4 is a high-performance, low-power CPU developed by as the fourth generation in its premium Cortex-X series, implementing the Armv9.2-A architecture with extensions such as Scalable Vector Extension 2 (SVE2) and Memory Tagging Extension (MTE) for enhanced security and vector processing capabilities. Designed primarily for flagship smartphones and premium laptops, it emphasizes peak single-threaded performance for demanding tasks like , , , and multi-threaded workloads while maintaining energy efficiency. The supports with a wide decode width and advanced branch prediction, enabling up to 15% higher (IPC) compared to the Cortex-X3 predecessor. Key architectural features include a private cache configurable up to 2 MB per core, paired with 64 KB L1 instruction and data caches, and integration into DynamIQ Shared Unit-120 (DSU-120) clusters supporting up to 14 cores and L3 caches of 24 MB or 32 MB for improved multi-core scalability. It delivers 40% better power efficiency than the Cortex-X3 on the same manufacturing process, with potential clock speeds reaching approximately 3.4 GHz in optimized implementations. Notably, the Cortex-X4 was the first core to be taped out on TSMC's 3nm (N3E) process node, paving the way for advanced node adoption in mobile silicon. It also incorporates optional cryptographic extensions for , , SM3, and SM4 algorithms, alongside support for Pointer Authentication using the QARMA3 mechanism, bolstering in high-end devices. In typical deployments, the Cortex-X4 serves as the "big" core in heterogeneous DynamIQ clusters alongside efficiency-focused Cortex-A720 and Cortex-A520 cores, enabling balanced performance for UI responsiveness, app launches, and on-device generative . This configuration supports up to 10 Cortex-X4 cores in premium designs, such as those targeting sustained workloads in laptops or intensive . The core's design prioritizes with Armv8-A features up to version 8.7-A while introducing v9.2-specific enhancements for future-proofing applications in AI-driven ecosystems.

Overview

Introduction

The ARM Cortex-X4 is a high-performance central processing unit (CPU) core developed by Arm Holdings, serving as a flagship component in the company's DynamIQ shared-unit architecture for heterogeneous computing. It was announced on May 29, 2023, as part of Arm's Total Compute Solutions 2023 (TCS23) initiative, which integrates advanced CPU, GPU, and interconnect IP to enable scalable system-on-chip (SoC) designs. The core emphasizes peak single-threaded performance while maintaining efficiency, positioning it at the top of Arm's CPU portfolio for demanding applications. Built on the Armv9.2-A (ISA), the Cortex-X4 supports 64-bit processing and is designed for integration into DynamIQ clusters via the DSU-120 shared unit. It offers scalability from a single core configuration up to 14-core clusters, allowing flexibility for various device form factors and performance needs. This architecture enables heterogeneous mixing with other cores, such as mid-range and efficiency variants, to optimize power and performance balances in multi-core systems. As the successor to the Cortex-X3, the Cortex-X4 targets premium smartphones, high-end laptops (including devices), and other computing platforms requiring superior single-threaded throughput for tasks like and complex simulations. It was unveiled alongside the Cortex-A720 (performance-efficiency core) and Cortex-A520 (high-efficiency core), forming a complete CPU lineup for next-generation SoCs that prioritize both computational power and in mobile and environments.

Release and Development

The ARM Cortex-X4 was developed by as part of its ongoing advancements in high-performance CPU cores. It was announced on May 29, 2023, via the company's official developer blog, coinciding with broader revelations at about Arm's total compute solutions for mobile and computing devices. Development of the Cortex-X4 emphasized addressing escalating computational demands in and workloads, alongside 5G-enabled mobile applications, while prioritizing scalability to support emerging high-performance designs with multi-threaded capabilities and extended life. The core supports the Armv9.2-A to enable these enhancements. The (IP) for the Cortex-X4 became available to licensees in the third quarter of 2023, allowing integration into custom (SoC) designs. The first commercial implementations appeared in devices in late 2023 and 2024, including the 8 Gen 3 and Dimensity 9300. As part of Arm's DynamIQ technology, the Cortex-X4 integrates with the DynamIQ Shared Unit (DSU-120), which facilitates up to 32 MB of shared L3 cache for improved system-level performance in diverse configurations. The licensing model follows Arm's standard approach, offered through the Cortex-X Custom program to enable tailored optimizations by partners.

Architecture

Microarchitecture Details

The ARM Cortex-X4 implements a high-performance engine designed for maximum throughput in demanding workloads. This engine supports a wide dispatch width of up to 10 , enabling efficient handling of complex streams without a micro-op to streamline the frontend . The retirement unit similarly accommodates wide retirement, up to 10 , paired with a reorder of 384 entries to maintain high sustained while resolving dependencies. The is optimized for low latency access in single-threaded scenarios. Each features a 64 L1 that is 4-way set associative with 64-byte line size, alongside a matching 64 L1 data of the same associativity and line size. Complementing these, a private L2 per is configurable to 512 , 1 , or 2 , providing dedicated bandwidth and reducing contention in multi-core configurations. The memory system incorporates ARMv9.2-A features, including support for memory tagging extensions to detect safety violations at . Enhanced data prefetchers analyze access patterns more effectively than prior generations, proactively loading data into to minimize stalls. For scalability in clusters, the core connects via the DynamIQ Shared Unit (DSU-120), which manages a shared L3 cache of up to 32 MB while handling snoop operations and interconnect traffic. Branch prediction has been refined for greater accuracy in irregular control flow. The dynamic predictor employs a two-level adaptive mechanism to forecast branch directions, augmented by an indirect target buffer that caches likely targets for indirect branches, thereby reducing misprediction penalties in complex code paths to around 11 cycles.

Pipeline and Execution Units

The ARM Cortex-X4 processor implements an out-of-order pipeline designed to balance high performance with power efficiency in mobile and applications. This architecture features a deepened front-end that enhances instruction fetch and decode capabilities, allowing up to 10 instructions to be decoded per cycle from the L1 instruction cache. The front-end integrates a dynamic and a 64 KB, four-way set-associative L1 instruction cache to minimize stalls and support sustained throughput for complex workloads. At the dispatch stage, the pipeline supports a dispatch width of up to 10 per cycle, enabling efficient parallel execution of instructions. This is complemented by an expanded reorder buffer with 384 entries, which facilitates out-of-order retirement of instructions while maintaining precise and improving overall . The decode unit converts instructions into an internal micro-operation format prior to issuance, optimizing for the core's execution resources. The execution units in the Cortex-X4 are scaled for high-throughput processing, featuring eight arithmetic logic units (ALUs) that represent an increase from six in prior generations. These ALUs handle , , and shift operations, with dedicated support for multiply-accumulate and to accelerate general-purpose tasks. Additionally, the memory subsystem supports up to four outstanding loads and two simultaneously through dedicated load and units. Floating-point and SIMD capabilities are provided through an advanced vector execute unit that supports single- and double-precision operations alongside technology for media and . This unit integrates seamlessly with the pipeline to execute Advanced SIMD instructions, enabling vectorized computations for multimedia workloads. Vector processing is further enhanced in the Cortex-X4 with full support for Scalable Vector Extension 2 (SVE2), tailored for and tasks. The implementation includes two 256-bit lanes, allowing scalable operations across wider data widths while maintaining compatibility with legacy code. This configuration doubles the vector processing throughput relative to previous cores, facilitating efficient handling of matrix multiplications and convolutional operations common in neural networks.

Key Features and Innovations

Performance Optimizations

The ARM Cortex-X4 incorporates advanced speculative execution mechanisms that enable more aggressive out-of-order processing while minimizing the impact of branch mispredictions. Key improvements include a refined recovery pipeline that allows for faster redirection of execution flow and higher overall throughput in branch-intensive workloads. This enhancement, combined with broader front-end optimizations, contributes to sustained performance in dynamic code paths without excessive power draw. For AI and machine learning workloads, the Cortex-X4 leverages hardware support in its vector processing units via Scalable Vector Extension 2 (SVE2), including matrix multiply capabilities tailored for tasks. These include optimized support for INT8 operations, which accelerate common layers such as convolutions and transformers. The doubled L2 cache size to 2 MB per core further aids these computations by reducing data movement latency for larger models. Scalability is addressed through integration with the DynamIQ Shared Unit-120 (DSU-120), which supports configurations of up to 14 cores in a single , enabling high multi-threaded performance for demanding applications. Dynamic voltage scaling within the optimizes for bursty workloads by adjusting power delivery on-the-fly, ensuring rapid frequency boosts during peaks while maintaining during idle periods. In evaluations, the Cortex-X4 achieves a 15% increase in (IPC) for single-threaded tasks, as demonstrated in SPECint workloads, accomplished through architectural refinements rather than higher clock speeds. This uplift highlights the core's focus on per-cycle gains, benefiting applications from general to specialized simulations.

Power Efficiency Enhancements

The ARM Cortex-X4 achieves a 40% improvement in power per operation compared to its predecessor, the Cortex-X3, primarily through microarchitectural scaling that enhances () while incorporating advanced low-power modes to minimize at iso-performance levels. This gain is measured using the SPECRate2017_int_base , with the Cortex-X4 configured at 2MB L2 , 8MB L3 , 3.4GHz clock speed, and 100ns . The scaling builds on expansions for better throughput, allowing the core to complete workloads faster and spend more time in low-power states, thereby reducing overall energy draw without sacrificing peak performance. Dynamic power management in the Cortex-X4 features per-core and fine-grained voltage control via per-core dynamic voltage and (DVFS), which collectively lower idle consumption by optimizing clock distribution and voltage rails based on workload demands. Hierarchical selectively disables clocks to inactive components, such as unused execution units or banks, preventing unnecessary dynamic dissipation during partial utilization. Fine-grained DVFS adjusts voltage and independently for each within a , enabling precise scaling that responds to varying computational loads and reduces overhead in multi-core scenarios. These mechanisms integrate with the Power Policy Unit (PPU) to manage transitions into retention modes, where state is preserved while to non-essential logic is gated, further curbing idle losses. Process node agnostic optimizations in the Cortex-X4 emphasize with advanced nodes like TSMC's 3nm (N3E) and future 2nm processes, focusing on leakage power reduction through dynamic retention techniques applied to L1 caches and registers. Dynamic retention mode maintains critical state in low-leakage while powering down surrounding logic, minimizing static power leakage that becomes prominent at smaller nodes due to increased density. This approach, combined with full retention and off modes that gate power to the entire when idle, ensures sustained efficiency across fabrication variations without requiring node-specific redesigns. As the first CPU optimized for TSMC N3E, these features enable up to 27% improved leakage power in integrated designs, supporting longer battery life in mobile applications. Thermal throttling enhancements leverage advanced DVFS algorithms to extend peak performance sustainability under thermal constraints, by proactively scaling frequency and voltage in response to temperature sensors while prioritizing energy-efficient operating points. The per-core DVFS implementation allows the operating system to modulate core speeds granularly, avoiding abrupt throttling by distributing thermal load across the cluster and favoring lower-power modes during sustained high-intensity tasks. Integrated with the DynamIQ Shared Unit (DSU-120), this enables intelligent power-saving across cores, reducing thermal-induced slowdowns and maintaining higher average performance envelopes compared to prior generations.

Comparisons

Versus ARM Cortex-X3

The ARM Cortex-X4 introduces several architectural enhancements over its predecessor, the Cortex-X3, primarily aimed at boosting single-threaded performance while maintaining power efficiency. Key improvements include an expansion of the integer execution units, with the number of arithmetic logic units (ALUs) increasing from six in the Cortex-X3 to eight in the Cortex-X4, enabling greater instruction throughput in integer-heavy workloads. Additionally, the reorder buffer has been enlarged from 320 entries to 384 entries, allowing for deeper out-of-order execution and better handling of complex instruction streams without increasing latency. These changes contribute to a reported 15% improvement in instructions per cycle (IPC), translating to higher single-threaded performance in typical smartphone applications at similar clock speeds. On the cluster level, the Cortex-X4 leverages the new DynamIQ Shared Unit (DSU-120), which supports scalability up to 14 cores compared to the DSU-110's limit of 12 cores in Cortex-X3 configurations, while also accommodating larger shared sizes of up to 32 MB. This enhanced interconnect facilitates more flexible multi-core designs for high-end devices, such as those targeting premium laptops or smartphones, without proportionally increasing power draw. Despite these additions, the Cortex-X4 maintains a similar die area footprint to the Cortex-X3, with only a modest under-10% increase attributed to the expanded execution resources. In terms of efficiency, the Cortex-X4 delivers approximately 40% better than the Cortex-X3, achieved through refined in the execution pipeline and cluster-level optimizations like dynamic partitioning in the DSU-120. This metric is based on cluster-level comparisons at iso-performance points, emphasizing sustained workloads over peak bursts. Regarding , the Cortex-X4 remains backward compatible with Armv9.1 features but incorporates Armv9.2 extensions, including enhanced support for the Tagging Extension (MTE) to improve software security against memory errors.
FeatureCortex-X3Cortex-X4
ALUs68
Reorder Buffer Entries320384
Single-Threaded Perf GainBaseline+15%
Max Cores per Cluster12 (DSU-110)14 (DSU-120)
Perf/Watt ImprovementBaseline+40%
ISA BaseArmv9Armv9.2 (with MTE)

Versus Other ARM Cores

The Cortex-X4 serves as the premium high-performance core in heterogeneous architectures, delivering substantially greater peak single-threaded performance than the mid-tier Cortex-A720 while consuming approximately twice the power. This positioning enables the X4 to handle demanding, bursty workloads as the "prime" core in big.LITTLE configurations, where the A720 focuses on balanced sustained performance for multi-threaded tasks. reports the X4 achieves up to 15% higher performance than the preceding Cortex-X3 at iso-power, whereas the A720 provides only about 4% uplift over the Cortex-A715, resulting in an effective ~30% performance advantage for the X4 over the A720 in comparable setups. Compared to the efficiency-oriented Cortex-A520, the X4 emphasizes maximum throughput over low-power operation, offering roughly 50% better single-threaded performance but with elevated thermal output and power demands unsuitable for prolonged light-duty use. The A520, as the "LITTLE" core, targets background processes and idle efficiency with 22% better power savings than the prior A510 at iso-performance, allowing the X4 to activate selectively for intensive applications without compromising overall system battery life. This contrast underscores the X4's role in tiered designs, where it boosts responsiveness for short, high-intensity operations. Within ARM's DynamIQ ecosystem, the X4 integrates seamlessly into version 3 (V3) cluster configurations, such as 1× X4 + 5× A720 + 2× A520, to optimize for diverse workloads; here, the X4 manages transient peaks like app launches or computations, offloading sustained or low-priority tasks to the A720 and A520 for efficiency. This setup enhances overall versatility in mobile devices, balancing elite single-core speed with multi-core economy. Early estimates indicate the X4 achieves 10-15% higher instructions per clock () per square millimeter of die area than Apple's core, reflecting ARM's advances in performance density for licensable .

Implementations

Adoption in Devices

The ARM Cortex-X4 core saw its first major adoptions in high-end SoCs launched in late 2023 and early 2024, powering flagship smartphones with enhanced single-threaded performance for demanding applications. Qualcomm's Snapdragon 8 Gen 3, announced in October 2023, features one prime Cortex-X4 core clocked at 3.3 GHz alongside five Cortex-A720 cores and two Cortex-A520 cores, enabling superior CPU efficiency on a 4 nm process. This SoC debuted in devices such as the S24 series (select regions), 12, and 14, where it delivered notable improvements in everyday tasks and . As of November 2025, adoptions have been limited to SoCs, with no commercial implementations despite configurations supporting high-performance workloads. MediaTek integrated the Cortex-X4 more aggressively in its Dimensity 9300 , released in November 2023, which employs an all-big-core configuration with one X4 core at 3.25 GHz and three additional X4 cores at 2.85 GHz, paired with four Cortex-A720 cores. This design targets AI-heavy workloads in devices, appearing in flagships like the X100 series and Find X7, where the multiple X4 cores boost multi-threaded processing for on-device . Building on this, the MediaTek Dimensity 9400, launched in October 2024, incorporates three Cortex-X4 cores at 3.3 GHz alongside one Cortex-X925 prime core and four Cortex-A720 cores, further optimizing for AI tasks in 2025 devices such as the Find X8 and X200. Samsung adopted the Cortex-X4 in its 2400 , unveiled in early 2024 and built on a 4 nm process, featuring one X4 core at 3.2 GHz, five Cortex-A720 cores, and four Cortex-A520 cores for balanced performance. This chip powered the S24 and S24+ models in regions like and , providing custom-tuned efficiency for 's ecosystem, including DeX multitasking and camera processing. While rumors suggested potential integration of Cortex-X4 variants in future Exynos chips on a for the S25 series, ultimately opted for Qualcomm's Snapdragon 8 Elite across all variants. Early benchmarks from these X4-equipped devices highlight a roughly 20% uplift in single-core scores compared to X3-based predecessors like the Snapdragon 8 Gen 2, underscoring the core's gains in real-world scenarios such as app launches and web browsing. For instance, the Snapdragon 8 Gen 3 achieves average single-core scores around 2,200 in , versus about 1,950 for the Gen 2, while the Dimensity 9300 pushes beyond 2,200 thanks to its multi-X4 setup. These improvements establish the Cortex-X4 as a key enabler for premium mobile experiences without excessive power draw.

Integration with Armv9 Ecosystem

The ARM Cortex-X4 integrates seamlessly into the Armv9 ecosystem through the DynamIQ Shared Unit-120 (DSU-120), which serves as the interconnect fabric for DynamIQ clusters. The DSU-120, part of the Armv9.2 architecture, supports up to 14 CPU cores in a single cluster with flexible mixing of high-performance and efficiency cores, including configurations such as up to 10 Cortex-X4 cores paired with 4 Cortex-A720 cores optimized for workloads. It provides up to 32 MB of shared L3 , configurable in increments to balance and area, enabling enhanced scalability and intelligent within the cluster. For mobile applications, the Cortex-X4 pairs with the Immortalis-G720 GPU in Arm's Total Compute Solutions 2023 (TCS23) platform, facilitating unified system memory access that accelerates tasks such as inference and visual computing. This integration leverages the shared architecture of modern SoCs, reducing demands by up to 40% while supporting ray tracing and advanced shaders for -enhanced experiences. The combination ensures coherent data sharing between the CPU and GPU, optimizing workloads like on-device generative without dedicated accelerators. Multi-cluster scaling is enabled by the CoreLink CMN-600 coherent mesh interconnect, which supports the attachment of multiple DynamIQ clusters containing Cortex-X4 cores for larger systems. The CMN-600 provides a high-bandwidth, low-latency fabric using the AMBA 5 protocol, allowing up to 128 cores across clusters with full coherency. It also integrates coherent I/O for peripherals like PCIe and USB controllers, enabling from external devices to the shared L3 without CPU intervention, which is crucial for high-throughput applications in Armv9-based designs. The software ecosystem for the Cortex-X4 builds on Armv9.2 extensions, with optimizations in the TCS23 platform stack including support for and later versions through updated graphics drivers for the Immortalis-G720 and kernel-level enhancements for DynamIQ scheduling. Linux kernels, starting from version 6.1, incorporate Armv9-specific drivers for the DSU-120 and CMN-600, enabling features like energy-aware scheduling and memory partitioning via the Armv8.4 MPAM extension for improved multi-cluster efficiency. These drivers ensure compatibility with Arm NN for acceleration and the Virtualization Framework for secure processing.

References

  1. [1]
    Arm Cortex-X4 Core Technical Reference Manual
    This manual is for the Cortex-X4 core. It provides reference information and contains programming details for registers. It also describes the memory system ...
  2. [2]
  3. [3]
    New Arm Total Compute Solutions Enable a Mobile Future Built on ...
    A vital part of this high-performance cluster is the new Arm Cortex-X4, our fourth-generation Cortex-X core, which pushes the limit of performance on flagship ...
  4. [4]
    Arm Cortex-X4 Core Technical Reference Manual
    This manual is for the Cortex-X4 core. It provides reference information and contains programming details for registers. It also describes the memory system ...
  5. [5]
    Arm Cortex-X4 advances frontiers of CPU performance
    May 29, 2023 · Now, we are delighted to launch the latest Cortex-X CPU, the Arm Cortex-X4, alongside brand-new CPU clusters that combine the Cortex-X4 with our ...
  6. [6]
    Arm announces the Cortex X4 for 2024, plus a 14-core M2-fighter
    May 30, 2023 · This year's mega chip is a 14-core monster with 10 Cortex X4 chips and four A720 chips, which Arm says is meant for "high-performance laptops."
  7. [7]
    Arm Cortex-X4 announced, coming in next MediaTek flagship
    May 29, 2023 · The new Cortex-X4 CPU delivers another big boost for flagship smartphones and countless other devices. The X4 promises a 15% boost to overall performance.<|control11|><|separator|>
  8. [8]
  9. [9]
    CPU Reviews, Analysis and Buying Guides | Tom's Hardware
    CPU reviews, news and features, created for the hardcore PC enthusiast by the experts at Tom's Hardware.CPU Benchmarks · CPUs Reviews · CPUs · Best CPU deals 2025
  10. [10]
    Cortex-X4 (Hunter-ELP) - Microarchitectures - ARM - WikiChip
    May 13, 2025 · Decode width: 10; Rename / Dispatch width: 10 (increased from 8); Reorder buffer (ROB): 384 entries (increased from 320); Execution ports: 21 ...
  11. [11]
    Cortex-X4 core configuration options - Arm Developer
    Cortex®-X4 core configuration options ; You can configure the L2 cache to be 512KB, 1024KB, or 2048KB. The cores in the cluster can have different cache sizes.
  12. [12]
    Arm®v8‑A - Arm Cortex-X4 Core Technical Reference Manual
    This manual is for the Cortex-X4 core. It provides reference information and contains programming details for registers. It also describes the memory system ...
  13. [13]
    Arm Unveils Cortex-X4 And Immortalis GPU | HotHardware
    Rating 4.0 · Review by Chris GoettingMay 28, 2023 · The Cortex-X4 yields 15% better single-threaded performance, yet is also the most efficient X-series core Arm has designed to date. A key ...
  14. [14]
    Arm Cortex-X4, A720, and A520: 2024 smartphone CPUs deep dive
    May 29, 2023 · Arm Cortex-X4 deep dive ; Peak clock speed · ~3.4GHz · ~3.25GHz ; Decode Width · 10 instructions · 6 instructions (8 mop) ; Dispatch Pipeline Depth · 10 ...
  15. [15]
  16. [16]
    Cortex® - -X4 - core - power modes. - Arm Developer
    In this mode, the core proceeds through all the powerdown steps, except: The clock is not gated and power is not removed when the core is powered down. Only a ...
  17. [17]
    ARM shows Cortex-X4 core, DSU for laptop chips ... - eeNews Europe
    May 29, 2023 · The DSU can manage ten X4 cores with four A720s for laptop chips, as well as an eight core CPU cluster with one Cortex-X4, five Cortex-A720 and ...
  18. [18]
    Arm Introduces The Cortex-X4, Its Newest Flagship Performance Core
    May 28, 2023 · The Cortex-X4 is Arm's newest flagship core. Arm says it offers 15% performance uplift over the Cortex-X3.
  19. [19]
    Arm Details The Cortex-X4 With +15% Performance, Armv9.2 ISA
    May 29, 2023 · The Cortex-X4 also features a new DynamIQ shared unit, support for up to 14 cores and a larget L3 cache, and the Armv9.2 architecture embeds the ...
  20. [20]
    ARM introduces Cortex-X4 CPU core with up to 15% higher ...
    May 29, 2023 · The Cortex-X4 is designed for ultimate performance and represents yet another generation of double-digit IPC growth, with 15 percent performance improvements.
  21. [21]
    Qualcomm Snapdragon 8 Gen 3: specs and benchmarks
    It has 1 core Cortex-X4 at 3300 MHz, 3 cores Cortex-A720 at 3150 MHz, 2 cores Cortex-A720 at 2960 MHz, and 2 cores Cortex-A520 at 2270 MHz.Exynos 2400 vs Snapdragon 8... · Snapdragon 8s Gen 3 vs... · Asus ROG Phone 8<|control11|><|separator|>
  22. [22]
    MediaTek Dimensity 9400: specs and benchmarks - NanoReview
    It has 1 core Cortex-X925 at 3620 MHz, 3 cores Cortex-X4 at 3300 MHz, and 4 cores Cortex-A720 at 2400 MHz.Dimensity 9400 vs A18 Pro · Dimensity 8400 · Dimensity 9300 · Oppo Find X8 Pro
  23. [23]
    MediaTek Dimensity 9400 | Flagship 5G Chipset | Smartphones
    Ultimate All Big Core CPU. Our 2nd generation all big core design ... New Arm Cortex-X925 CPU at 3.62GHz; Up to +35% faster single core performance ...
  24. [24]
    Ranking - Smartphone SoC Performance - Know Your SoC Better
    Qualcomm Snapdragon 8 Gen 3 (1x 3.3GHz Cortex-X4 & 3x 3.15GHz Cortex-A720 ... Samsung Exynos 2400 (1x 3.2GHz Cortex-X4 & 2x 2.9GHz Cortex-A720 & 3x 2.6 ...
  25. [25]
    Galaxy S25 and S25+ are spoiled for chip choices, which one will ...
    Oct 24, 2024 · Some reports earlier this year said Samsung might use a MediaTek chip, namely the Dimensity 9400, for the base and Plus Galaxy S25 models.
  26. [26]
    Snapdragon 8 Gen 3 vs 8 Gen 2: Shocking Performance Differences
    Aug 15, 2024 · The latest Snapdragon 8 Gen 3 has over 20% CPU improvements compared to the 8 Gen 2, and the GPU score is up by over 40%. The benchmarks are ...
  27. [27]
    Snapdragon 8 Gen 3 vs Snapdragon 8 Gen 2: tests and benchmarks
    Key Differences · Has 4 MB larger L3 cache size than the Snapdragon 8 Gen 2 · Higher GPU frequency (~47%) · Performs 33% better in floating-point computations ...Review · Differences · AnTuTu v10 · GeekBench 6
  28. [28]
    DynamIQ: Revolutionizing Multicore Computing - Arm
    Arm Cortex-X4 advances frontiers of CPU performance · Arm Cortex-A720 and Cortex-A520 CPUs extend Armv9 benefits to all consumer device markets · Arm DynamIQ ...
  29. [29]
    Arm announces Cortex-X4 among latest CPU and GPU designs
    May 29, 2023 · Arm is set to today announce more CPU and GPU designs, with a promise of performance and power efficiency gains for laptop and smartphone system-on-chips.
  30. [30]
    Arm unveils Cortex-X4, Cortex-A720, Cortex-A520 CPUs, Immortalis ...
    May 29, 2023 · Arm has just announced the new Total Compute Solutions 2023 (TCS23) with Cortex-X4, Cortex-A720, and Cortex-A520 Armv9.2 CPU cores, ...
  31. [31]
    Arm Immortalis-G720
    The Arm Immortalis-G720 offers improved performance and reduced memory bandwidth usage leading to better and more sustained framerates, and ultimately higher ...Missing: X4 | Show results with:X4
  32. [32]
    CMN-600 Coherent Mesh: Scalable Network for Smart Systems - Arm
    The Arm CoreLink CMN-600 Coherent Mesh Network is designed for intelligent connected systems across a wide range of applications.Missing: X4 | Show results with:X4
  33. [33]
    CoreLink CMN-600 Product Support - Arm Developer
    The Arm CoreLink CMN-600 Coherent Mesh Network is designed for intelligent connected systems across a wide range of applications.Missing: Cortex- X4
  34. [34]
    Total Compute Solutions (TCS23) Platform Software Stack and FVP
    Oct 31, 2023 · TCS23 provides new 5th Generation GPU architecture for innovative visual computing on Arm with the most powerful Armv9 Cortex compute cluster.<|control11|><|separator|>
  35. [35]
    Total Compute Solutions (TCS23) - Arm Developer
    For TCS23, the reference platform was a premium solution comprising of Cortex-X4, Cortex-A720, and Cortex-A520 LITTLE CPU cores alongside our ...