Fact-checked by Grok 2 weeks ago

3 nm process

The 3 nm process is a semiconductor manufacturing technology node that represents a major advancement in integrated circuit fabrication, enabling transistors with critical dimensions scaled to around 3 nanometers for higher density, enhanced performance, and reduced power consumption compared to the preceding 5 nm node. This node, while not literally measuring all features at 3 nm, serves as a generational marker for process improvements in logic scaling, typically achieving 25-35% lower power usage or 10-15% higher performance at the same power, alongside up to 1.7 times greater transistor density. Leading foundries like TSMC, Samsung, and Intel have commercialized variants of the 3 nm process, with TSMC's 3nm contributing about 23% of its revenue by Q3 2025, powering high-end applications in mobile devices, servers, and AI accelerators. TSMC's N3 family, including enhancements like N3E and N3P, utilizes (FinFET) architecture as its last major iteration before transitioning to gate-all-around (GAA) at smaller nodes, with beginning in late 2022 and high-volume yields nearly 90% by mid-2025. 's 3 nm process, branded as SF3, employs multi-bridge-channel FET (MBCFET) GAA nanosheet transistors for superior channel control and , entering production in June 2022 with reported improvements of 45% reduced power, 23% higher performance, and 16% smaller area over its 5 nm node, though yields have lagged at around 50-60% as of mid-2025. 's Intel 3 process, also FinFET-based, delivers an 18% performance-per-watt gain over its Intel 4 node (equivalent to a 5 nm-class process) and entered high-volume in 2024, targeting datacenter and with support for 1.2V operations and denser libraries. These processes mark a critical for evolution, as FinFETs approach physical limits in controlling short-channel effects and leakage, prompting the shift to GAA structures for future nodes while enabling denser interconnects at pitches like 48 nm contacted poly and 22 nm metal. Notable implementations include Apple's A17 Pro, M4, and A18 chips on TSMC's N3, Samsung's 2500 processor on SF3, and Intel's 6 server CPUs on Intel 3, underscoring the node's role in driving efficiency for energy-intensive and mobile workloads.

History

Early research and development

The progression toward the 3 nm process was foreshadowed by the International Technology Roadmap for Semiconductors (ITRS) editions from 2011 to 2015, which outlined escalating challenges in scaling CMOS transistors from 5 nm and larger nodes. These roadmaps predicted that sub-5 nm dimensions would encounter severe short-channel effects, increased leakage currents, and limitations in classical planar and early FinFET architectures, necessitating innovations in device structures and materials to sustain performance gains. By 2015, the ITRS emphasized the urgency of transitioning to multi-gate devices like gate-all-around (GAA) transistors to improve electrostatic control and enable continued density scaling beyond 5 nm. Research milestones in the mid-2010s focused on evolving FinFETs toward GAAFET concepts, particularly through lab demonstrations of nanowire and nanosheet architectures. researchers first introduced the stacked nanosheet GAAFET in 2015 as a viable path for sub-5 nm scaling, offering superior gate control over FinFETs by fully surrounding the channel with the gate dielectric. Building on this, advanced the technology with 2016 demonstrations of lateral nanowire GAA MOSFETs at scaled dimensions below 20 nm, highlighting their potential for ultimate scaling with reduced variability and enhanced drive currents. By 2018, reported further refinements in stacked nanowire GAA transistors, achieving improved Ion/Ioff ratios and suppression suitable for 3 nm nodes and beyond. Early material innovations in the laid groundwork for 3 nm viability by addressing gate stack and patterning limitations inherited from larger nodes. High-k metal gate (HKMG) stacks, initially commercialized at 45 nm, underwent extensive refinement during this decade for FinFET integration and GAA compatibility, enabling equivalent oxide thicknesses below 1 nm while minimizing quantum tunneling and threshold voltage variability at sub-5 nm scales. Concurrently, precursors to (EUV) were tested in research settings, with developments in 13.5 nm wavelength sources and photoresists demonstrating sub-20 nm resolution and overlay precision under 5 nm, critical for single-exposure patterning in dense 3 nm layouts. Specific lab prototypes underscored these advancements' feasibility. In 2016, showcased 3 nm-class GAA prototypes using channels, validating multi-gate control for high-mobility channels at aggressive pitches. Complementing this, TSMC's 2018 research on EUV integration confirmed its viability for 3 nm processes, with demonstrations of enhanced light-source power and multi-layer patterning that supported faster development cycles and reduced multi-patterning complexity compared to 5 nm approaches.

Technology demonstrations and announcements

In December 2019, presented a short course at the International Electron Devices Meeting (IEDM) outlining device technologies for 3 nm and beyond, including EUV lithography integration for enhanced scaling and initial test chip concepts demonstrating feasibility for high-density logic and structures. Building on this, advanced its 3 nm development in 2020 with EUV-based test chips entering risk production, validating key process modules like multi-patterning and contact gate pitch scaling to achieve up to 1.7x density over the 5 nm node while maintaining yield targets. In January 2020, Samsung announced the fabrication of the world's first 3 nm gate-all-around FET (GAAFET) prototype using its multi-bridge-channel FET (MBCFET) architecture, which demonstrated a 30% transistor density improvement over its 5 nm process through better channel control and reduced short-channel effects. In July 2021, Intel revealed RibbonFET, its implementation of gate-all-around transistor technology, as part of the Intel 20A process node—equivalent to a 3 nm class—aimed at delivering superior drive current and electrostatic integrity compared to FinFETs, with initial prototypes showing enhanced performance-per-watt metrics. Concurrently, TSMC and Arm collaborated on 3 nm test vehicles, culminating in a successful tape-out of a test chip validating Armv9 physical IP, which included silicon results confirming robust power delivery networks with low IR drop and up to 10-15% efficiency gains in high-performance computing blocks. At IEDM 2022, showcased a 3 nm-class nanosheet FET device, highlighting the architecture's potential for low-power applications through precise nanosheet width control and inner-spacer optimization. During the 2022 TSMC Technology Symposium, demonstrated the N3E process variant with FINFLEX for flexible cell architectures while preserving compatibility with existing FinFET flows.

Commercialization timeline

TSMC initiated risk production of its 3 nm N3 process in 2021, marking the early stages of ahead of high-volume . This was followed by the start of high-volume for N3 in the second half of 2022, with the process entering production for Apple's A17 Pro chip in and applications by late 2023. began high-volume of its first-generation 3 nm gate-all-around (GAA) process in mid-2022, initially targeting the series, though persistent yield challenges limited its adoption. In 2023, ramped up its enhanced N3E variant, which saw its first with for a Dimensity , enabling broader integration by 2024. encountered significant yield issues with its 3 nm process during 2022-2023, reportedly below 20% initially, prompting major customers like to shift orders to 's more reliable N3 platform. Intel's equivalent 20A process, planned as a 2 nm-class node, faced delays and was ultimately canceled for consumer production in 2024, with manufacturing shifted to external foundries like . By 2024, advanced to trial production of its second-generation 3 nm SF3 process, aiming for in the second half of the year to address prior shortcomings. In May 2025, announced its in-house XRING O1 chipset, fabricated on 's N3E node, representing a key milestone in diversified 3 nm adoption for mobile processors. Market events included Technologies' of a 3D IC test chip integrating 3 nm and 5 nm dies in September 2025, validating ecosystem readiness for advanced packaging. further expanded 3 nm capacity in 2025, driven by chip demand from , increasing monthly wafer output to support growth. In early 2025, 's Intel 3 process entered high-volume manufacturing, targeting datacenter applications with 6 processors.

Technology

Key innovations in transistor design

The 3 nm process involves advancements in architecture, with some implementations shifting from fin field-effect (FinFETs) to gate-all-around (GAA) nanosheet field-effect (FETs) to enable continued scaling beyond the limitations of FinFETs at sub-5 nm nodes. Other implementations, such as TSMC's N3 family and 's Intel 3, refine FinFET designs through optimizations like narrower pitches (around 24-26 nm) and higher aspect ratios to enhance electrostatic control and reduce short-channel effects without transitioning to GAA. In GAA nanosheet FETs, the channel is formed by multiple horizontally stacked silicon nanosheets, typically 3 to 5 layers thick, with the gate material fully encircling each nanosheet on all four sides. This all-around gate configuration provides enhanced electrostatic control over the channel, significantly reducing short-channel effects such as drain-induced barrier lowering and leakage currents compared to the partial gate wrapping in FinFETs. The stacked nanosheet design also allows for tunable device characteristics by varying the number and dimensions of the sheets, optimizing drive strength while maintaining compact footprints suitable for 3 nm densities. Key implementations of GAA technology at 3 nm include Samsung's multi-bridge-channel FET (MBCFET), which employs stacked horizontal nanosheets as the conductive channels, enabling precise adjustment of channel width for balanced power, performance, and area (PPA) metrics. In MBCFET, the nanosheet structure facilitates higher through parallel conduction paths across the bridges, with demonstrated improvements of 23% in performance and 45% in power efficiency over preceding 5 nm FinFET-based processes. TSMC's N3X variant incorporates a backside power delivery network (BSPDN), relocating power rails to the wafer's underside to minimize IR drop and improve in high-performance applications, thereby supporting higher operating voltages up to 1.2 V without compromising frontside routing density. Interconnect innovations at 3 nm address rising resistance in narrow lines by adopting ruthenium-cobalt (Ru/Co) bilayer liners, which reduce liner thickness by up to 33% while enhancing wettability and void-free filling. These liners lower overall interconnect resistance by approximately 14% at pitches below 20 nm, mitigating RC delay increases that plague traditional tantalum-based barriers. To achieve such tight interconnect dimensions, (EUV) lithography with multi-patterning techniques, such as self-aligned litho-etch processes, enables metal pitches as low as 24 nm, ensuring precise patterning of backend-of-line (BEOL) layers without excessive overlay errors. The scaling benefits of GAA nanosheet FETs are quantified through the effective channel width, defined as
W_{\text{eff}} = 2 \times (W + H) \times N
where W is the nanosheet width, H is the nanosheet thickness (or height), and N is the number of stacked nanosheets. This formulation allows designers to boost drive current by increasing N or sheet dimensions, yielding up to a 30% improvement in on-state current over equivalent FinFET structures at iso-area conditions, primarily due to enhanced gate-to-channel coupling and reduced source/drain resistance.

Manufacturing processes and equipment

The manufacturing processes for the 3 nm semiconductor node involve a complex sequence of fabrication steps tailored to achieve atomic-scale precision in transistor structures, particularly for FinFET or gate-all-around (GAA) architectures. The process flow begins with wafer preparation, followed by critical patterning, deposition, , and planarization stages. Key among these is the use of (EUV) with double-patterning techniques to define fine features such as fins and gates. In EUV double-patterning, two sequential exposures and etches are employed to resolve pitches below 30 , enabling the formation of multi-fin structures essential for 3 nm density. This approach is necessary because single-exposure EUV at 0.33 (NA) reaches its resolution limits around 28-30 nm pitches, requiring multi-patterning for tighter dimensions in logic devices. Deposition processes play a pivotal role in building the gate stack and source/drain regions. Atomic layer deposition (ALD) is widely used to apply high-k dielectrics, such as hafnium oxide (HfO₂), which provide superior while minimizing leakage currents compared to traditional SiO₂. ALD enables conformal, sub-nanometer-thick layers by sequentially introducing precursors and reactants in a self-limiting manner, ensuring uniform coverage on high-aspect-ratio features like fins. Following deposition, selective removes excess material to shape the structures, often using plasma-based dry etch techniques for anisotropic profiles. (CMP) then achieves global planarization, smoothing the wafer surface after metal or dielectric fills to prepare for subsequent layers; this step is repeated multiple times in the back-end-of-line (BEOL) interconnect formation to maintain topography control within nanometers. Specialized equipment underpins these processes, with dominated by ASML's TWINSCAN NXE:3600D EUV systems, which support high-volume production at the 3 nm node through enhanced source power (up to 250 W) and overlay accuracy below 1.3 nm. These low-NA (0.33) scanners, introduced in the early , enable over 20 EUV layers in 3 nm flows, balancing resolution and throughput at around 185 wafers per hour. For deposition and etch, provides integrated tools like the Endura platform for ALD and the Centura for etch, optimized for sub-3 nm scaling with features such as in-situ cleaning to prevent in high-k/ modules. These systems facilitate atomic-precision control, supporting the "angstrom-era" transitions beyond 3 nm. To enhance yields, advanced integrates e-beam tools that detect defects down to 1-3 nm, such as those from EUV effects or residue in fins. Systems like KLA's eSL10 or ASML's HMI eScan 1000 use multi-beam sources for high-throughput scanning, identifying defects that optical tools overlook and enabling process corrections in . Thermal budget management is equally critical, involving low-temperature anneals (below 800°C) and millisecond spiking to activate dopants without excessive , which could degrade short-channel control in 3 nm FinFETs. This constrains overall process temperatures to preserve junction abruptness and minimize variability. Addressing mask fabrication challenges, multi-beam e-beam (MBM) writers, such as IMS Nanofabrication's MBMW-101 or JEOL's systems, reduce cycle times for complex EUV to approximately 10-20 hours per write, compared to over 30 hours with single-beam tools. This improvement supports the high pattern fidelity required for 3 nm, where must resolve features with sub-1 nm uniformity to avoid overlay errors in double-patterning.

Process variants

TSMC N3 family

The TSMC N3 family comprises a series of 3 nm-class process nodes based on FinFET , designed to optimize , , and area (PPA) for diverse applications ranging from devices to (HPC). The baseline N3 process, also known as N3B, entered high-volume production in late , delivering approximately 10-15% higher and 25-30% lower consumption compared to the preceding N5 node, with a logic around 290 MTr/mm². The N3E variant, an enhanced iteration, began volume production in late 2023 and focuses on improved manufacturability through reduced EUV layers and greater design flexibility, achieving yields comparable to mature nodes while maintaining strong PPA metrics; it supports a height of 6 tracks and a logic density of approximately 300 MTr/mm². Subsequent enhancements include N3P, an optical shrink of N3E that entered production in late 2024, providing a 5% performance uplift at the same power level and enhanced transistor density while preserving compatibility. N3X, targeted for HPC applications with risk production starting in 2024, emphasizes high-voltage operation and performance optimization, enabling up to 4% speed gains or 7% power reduction relative to N3E under specific conditions. A key architectural feature across the N3 family is FinFlex technology, which enables mixing of standard cells with varying fin configurations (such as 1-fin, 2-fin, or 3-fin layouts) within the same design, offering GAA-like versatility for balancing performance and power without requiring a complete redesign. This approach supports alternating row heights, typically 6-7 tracks, to optimize density and efficiency. In 2025, the N3 family, particularly N3E, saw expanded adoption, including volume production for Xiaomi's XRING O1 chipset, a 3 nm mobile with 19 billion transistors. Overall, 3 nm processes contributed about 23-24% of TSMC's total wafer revenue in 2025, reflecting full driven by demand for advanced nodes.

Samsung 3 nm process

's 3 nm process represents a significant advancement in manufacturing, leveraging gate-all-around (GAA) technology through its proprietary Multi-Bridge Channel FET (MBCFET) , which enables superior channel control and electrostatic integrity compared to FinFET designs. This approach stacks multiple nanosheet channels vertically around the gate, mimicking 3D density benefits to enhance drive current while mitigating short-channel effects at advanced nodes. of the initial 3 nm variant, designated as 3GAE, commenced in mid-2022, delivering up to 45% lower power consumption, 23% higher performance, and 16% reduced die area relative to the preceding 5 nm low-power plus (LPP) process. The process lineup has evolved with second-generation offerings to address performance and efficiency demands. SF3 (also known as 3GAP), entering trial production in early 2024 and volume ramp in the second half of 2024, incorporates design optimizations for improved density and power delivery, achieving approximately 190 million s per square millimeter. This variant provides a 10-15% area reduction over 5 nm LPP implementations through refined layout rules and GAA scaling. Building on this, SF3P (3GAP+), introduced in 2024, offers a 22% performance uplift at iso-power compared to 4 nm-class nodes, while maintaining power consumption levels akin to 5 nm processes, making it suitable for high-efficiency mobile applications. Looking ahead, is preparing its SF2 process, a 2 nm-class slated for starting in 2025, which promises further refinements in GAA architecture for mobile and . Early production of the 3 nm family faced challenges, including initial rates below 20% due to complexities in GAA fabrication and multi-patterning . However, by late 2025, improvements—reaching around 50%—have enabled stable output for key designs, such as the 2500 application processor, set for integration into 2025 mobile devices. By October 2025, further gains allowed securing foundry orders for Tesla's AI5 chip. These advancements are supported by a $17 billion in advanced facilities through 2025, including expansions in to bolster capacity. To enhance reliability, incorporates advanced interconnect materials like cobalt liners in select layers, improving resistance in high-current paths.

Intel and other implementations

Intel's implementation of 3 nm-class process technology centers on its Intel 18A node, following the cancellation of the Intel 20A node in 2024 for consumer products such as Arrow Lake processors, which shifted to external foundries like . Intel 18A adopts angstrom-era and integrates RibbonFET gate-all-around (GAA) s alongside PowerVia backside power delivery (BSPDN) to enhance , power efficiency, and . The Intel 18A node achieves densities roughly 2.4 times greater than Intel 7 (approximately 100 MTr/mm² to around 240 MTr/mm²), establishing approximate equivalence to contemporary 3 nm processes. Intel 18A supports versatile standard cell architectures, such as 4-track configurations optimized for density and 8-track variants suited for performance requirements. Test chip tape-outs for 20A commenced in 2023 as part of earlier development, while Intel 18A achieved initial silicon validation in 2024, paving the way for volume production in 2025. By 2025, Intel deployed Intel 18A for Panther Lake mobile processors, succeeding the generation and representing the node's debut in consumer silicon. To bolster capacity during internal ramps, Intel Foundry Services forged partnerships, including with , to offer external manufacturing options for select designs. Other 3 nm implementations remain niche, with conducting only exploratory work before pivoting to specialty and mature nodes beyond 12 nm in 2018, citing market focus over leading-edge scaling. provides an open pathfinding process design kit (PDK) for sub-3 nm nodes, facilitating academic research into advanced designs and bridging educational efforts with industrial innovation. In , Corporation initiated pilot production of its 2 nm-class process in 2025 at a facility, aiming for mass production by 2027 as part of a government-backed initiative to reestablish domestic advanced .

Performance and economics

Transistor density and scaling benefits

The 3 nm process achieves transistor densities ranging from approximately 190 to 225 million transistors per square millimeter across major implementations, marking a significant advancement in integration over preceding nodes. For TSMC's N3 family, the baseline N3 process delivers up to a 1.6× logic density improvement compared to the 5 nm (N5) node, while the enhanced N3E variant provides a 1.3× density scaling for mixed-signal chips comprising 50% logic, 30% SRAM, and 20% analog content. Samsung's SF3 (3 nm GAA) process, in contrast, offers about a 1.15× density gain relative to its 5 nm FinFET, achieved through a 16% area reduction for equivalent functionality. These density gains extend by enabling continued scaling, primarily through architectural advancements that mitigate short-channel effects such as drain-induced barrier lowering. Samsung's adoption of gate-all-around (GAA) s at the 3 nm node fully encircles the channel, providing superior electrostatic control compared to FinFETs and allowing for tighter es without exacerbating leakage or variability. TSMC's N3, using enhanced FinFET, employs a contacted of 45 nm, a reduction from 51 nm in N5, contributing to the observed scaling. Evaluations of nanosheet-based GAA demonstrate reduction in short-channel effects by up to 8% in effective drive current degradation during the transition from 5 nm to 3 nm. The scaling benefits can be conceptually modeled using the density scaling factor, approximated as \left( \frac{\lambda_\text{old}}{\lambda_\text{new}} \right)^2, where \lambda represents the minimum half-pitch (e.g., for interconnects or gates). For the 3 nm node, \lambda is roughly 12 nm compared to about 20 nm for 5 nm, yielding a theoretical 2.78× density increase from pitch scaling alone, though practical factors like design rules temper this to 1.3–1.6× overall. This translates to a 25–30% area reduction for equivalent logic functionality versus 5 nm, allowing system-on-chips (SoCs) to integrate 15–20 billion transistors on feasible die sizes. Tighter pitches in 3 nm processes also enable reductions of 20–25% through innovations like self-aligned contacts and optimized nanosheet stacking, further supporting higher integration without proportional increases in interconnect delay. These improvements collectively sustain performance scaling, with GAA designs demonstrating enhanced channel control that preserves drive currents at scaled dimensions.

Power efficiency and yield considerations

The 3 nm process achieves significant power efficiency improvements over the preceding 5 nm node, primarily through architectural advancements and process optimizations, which enable better electrostatic control and reduced leakage. At iso-performance, these nodes deliver 25-30% lower power consumption compared to 5 nm equivalents, as reported by for its N3 family. Samsung's SF3 process similarly claims up to 45% power reduction versus its 5 nm baseline, though real-world implementations often align closer to the 25-35% range due to design optimizations and workload variations. A key contributor to these gains is the reduction in parasitic capacitance enabled by advanced structures; for GAA in Samsung's process, this surrounds the channel on all sides for superior gate control. Dynamic power dissipation in CMOS circuits follows the equation P = \alpha C V^2 f, where \alpha is the activity factor, C is the total capacitance, V is the supply voltage, and f is the frequency; GAA designs lower C by approximately 15% relative to FinFETs at 5 nm through minimized fringe and overlap effects. This capacitance scaling directly cuts power at constant voltage and frequency, amplifying overall efficiency in high-density logic. Yield considerations at 3 nm are critical for economic viability, with mature processes targeting defect densities of 0.1-0.3 defects per cm² to support high-volume production. TSMC's N3E variant has achieved yields exceeding 90% for high-volume chips as of mid-2025, reflecting optimizations in and process control. Samsung's SF3 process reports yields around 50% as of mid-2025. These metrics stem from managing EUV 's noise, where random and chemical variations can induce defects; mitigation strategies include precise dose control to boost uniformity and reduce line-edge roughness by up to 20%. Additional challenges in 3 nm designs include thermal throttling in densely packed layouts, where elevated power densities—up to 150 W/cm² in logic blocks—necessitate to prevent overheating, potentially curtailing performance by 10-20% under sustained loads. Backside power delivery emerges as a promising solution, relocating power rails to the wafer's rear to shorten distribution paths and cut voltage drop by 10-15%, thereby enhancing stability without increasing frontside routing congestion. These factors underscore the balance required between efficiency targets and fabrication reliability at this scale.

Cost structure and market economics

The cost structure of 3 nm semiconductor production is dominated by the expenses associated with advanced and fabrication equipment, particularly (EUV) technology. A single 300 mm processed at the 3 nm node by costs approximately $20,000 in 2025, reflecting a significant escalation from prior generations due to the complexity of multi-patterning and high-precision required. This price incorporates the elevated costs of EUV photomasks, where individual blanks and patterning can exceed $100,000 each, contributing to overall mask set expenses that reach tens of millions of dollars for a full production run. Additionally, the per-unit chip fabrication cost at 3 nm stands around $0.25 per square millimeter, driven by depreciation of specialized tools like EUV scanners, which alone can cost over $200 million per unit. Economically, the 3 nm process represents a 25% increase in pricing compared to the 5 nm , where wafers cost about $16,000–$17,000, yet this is partially mitigated by the ability to yield 20–30% more functional dies per wafer through improved . TSMC's emphasizes scaling to amortize these costs, with the company reporting sustained that supports stable pricing amid capacity expansions. Samsung, facing competitive pressures, has invested heavily in its 3 nm gate-all-around (GAA) process, committing over $10 billion in to enhance yields and capture , though its capex for advanced nodes in 2025 has been adjusted downward to around half of prior levels to align with utilization rates. The global market for 3 nm semiconductor production is projected to generate approximately $30 billion in revenue in 2025, fueled by demand for AI accelerators and high-end mobile processors, with an expected compound annual growth rate (CAGR) of 18.3% through 2032. Foundry market dynamics show TSMC commanding a dominant 70% share of overall advanced node production in mid-2025, while Samsung holds about 11%, reflecting TSMC's lead in yield maturity and customer adoption. Capacity constraints at leading foundries have driven wafer price hikes of 8–10% for sub-5 nm nodes into 2026, exacerbating supply tightness for premium clients. Geopolitical factors further influence 3 nm economics, including U.S. government subsidies under the , which allocated up to $7.86 billion in direct funding to in 2024 to bolster domestic 3 nm-equivalent fabrication capabilities and mitigate reliance on Asian supply chains. These incentives, combined with export controls on advanced equipment, heighten risks for non-U.S. players like and , potentially reshaping investment priorities and pricing strategies in the sector.

Adoption and applications

Mobile and consumer devices

The 3 nm process has significantly influenced the design and performance of mobile and consumer devices, particularly in smartphones and tablets, by enabling more efficient that balance high computational demands with power constraints. One of the earliest adoptions came with Apple's A17 Pro , fabricated using TSMC's N3 process and integrated into the series launched in 2023, which delivered enhanced graphics capabilities and sustained performance for gaming and applications. followed with its Dimensity 9400 SoC on TSMC's improved N3E process in late 2024, powering premium devices with an all-big-core CPU architecture that supports advanced on-device processing for features like real-time image enhancement. In 2025, adoption accelerated among manufacturers, with introducing the XRING O1 on TSMC N3E for the 15S Pro , featuring a ten-core CPU and 16-core GPU that achieved benchmark scores competitive with leading rivals while emphasizing AI-driven tasks such as voice recognition and photo editing. also shifted its flagship lineup to 3 nm with the 2500 using its SF3 process for the S25 series, incorporating gate-all-around (GAA) transistors to improve thermal management in high-resolution displays and multitasking scenarios. These implementations reflect a broader trend where approximately 50% of advanced node (3 nm and below) shipments occurred in mobile devices by 2025, driving 's wafer production allocation toward consumer applications. The efficiency gains from 3 technology have directly benefited battery life in these devices, with reports indicating up to 20% extensions in usage time under mixed workloads compared to prior 4 nodes, allowing for longer video streaming and navigation without frequent recharging. This power reduction stems from denser packing and lower leakage currents, enabling manufacturers to maintain slim profiles while supporting demanding features like always-on assistants. In foldable smartphones, such as the Find N5, the compact die size of 3 SoCs facilitates ultra-thin designs—measuring just 8.93 mm when folded—without compromising on capacity or durability for repeated folding cycles. By mid-2025, over 70% of flagship Android smartphones had transitioned to 3 nm processes, up from less than 20% in 2024, fueled by competitive pressures to match iOS performance in AI and multimedia while extending device longevity through better thermal efficiency. Wearables, including advanced smartwatches, have also begun incorporating 3 nm variants for low-power always-connected features, though smartphones remain the primary driver, accounting for nearly 50% of global 3 nm wafer demand that year.

High-performance computing and AI

The 3 nm process has become pivotal in (HPC) and (AI) applications, particularly for data center accelerators that demand massive and . AMD's Instinct MI350 series accelerators, built on TSMC's 3 nm process, deliver up to 185 billion and support generative AI tasks with enhanced CDNA 4 architecture, targeting deployment in 2025 for supercomputing environments. These implementations leverage the node's dense transistor integration to handle exascale simulations and models that previous nodes could not scale efficiently. A primary advantage of the 3 nm process in HPC and is its improved floating-point operations per second () per watt, offering up to a 30% reduction in power consumption compared to 5 nm predecessors while maintaining or boosting computational throughput. This efficiency enables larger models to run on sustainable power budgets, facilitating scalability toward where systems exceed 10^18 without prohibitive energy costs. For instance, TSMC's N3X variant, designed specifically for HPC, enhances clock speeds by 5% over standard 3 nm at the same voltage, allowing custom chips to achieve higher performance densities for supercomputers. In 2025, the sector experienced a significant boom driven by demands, with advanced nodes like 3 nm contributing substantially to expanded HPC capacity amid projections of 15% annual global growth in data center infrastructure. This trend supports the training of generative models beyond , such as larger systems requiring trillions of parameters, by providing the dense, low-latency compute resources essential for iterative and deployment at scale. TSMC's N3X further accelerates custom HPC designs, enabling hyperscalers to integrate 3 nm accelerators into next-generation fabrics for inference in environments.

Notable chips and production milestones

The 3 nm process marked a significant advancement in semiconductor manufacturing, with Samsung initiating mass production of its gate-all-around (GAA) 3 nm chips in June 2022, achieving up to 45% reduced power consumption, 23% improved performance, and 16% smaller area compared to its 5 nm process. TSMC followed by entering high-volume production of its N3 FinFET-based 3 nm technology later in December 2022, enabling the delivery of the industry's first commercial 3 nm chips in 2023. Intel began risk production of its Intel 3 process in 2023, with high-volume manufacturing ramping up in 2024 for server applications and shifting to European facilities like Fab 34 in Ireland by late 2025 to expand capacity. Key production milestones included TSMC's achievement of approximately 55% yields on its N3 by mid-2023, supporting the rollout of enhanced like N3E for broader . By 2024, demand from and led to full booking of TSMC's 3 nm capacity through 2026, with Apple, , , and securing the majority of allocations. Samsung improved yields on its SF3 (3 nm GAA) process to support flagship mobile chips in 2025, while targeted process leadership with Intel 3, offering 18% performance gains over its Intel 4 at iso power. Notable chips fabricated on 3 nm processes highlight the technology's impact on , and AI applications. Apple's A17 Pro, introduced in September 2023 for the series, was the first consumer-facing 3 nm on TSMC's N3B variant, featuring 19 billion transistors and enabling console-quality gaming with a 6-core CPU and 6-core GPU. The family, unveiled in October 2023 for and , utilized TSMC's 3 nm process to deliver up to 65% faster ray tracing and a 16-core Neural Engine for AI tasks, powering the transition to unified memory architectures in personal computers. In mobile processors, Qualcomm's Snapdragon 8 Elite (also known as Gen 4), launched in October 2024 for 2025 flagship smartphones, marked the company's shift to 's 3 nm N3E process, providing a 45% increase in performance for on-device compared to its 4 nm predecessor. 's Dimensity 9400, announced in October 2024, became the company's first 3 nm chip on TSMC N3E, featuring an all-big-core CPU design with Cortex-X925 for up to 35% better power efficiency in -accelerated tasks. Samsung's 2500, revealed in June 2025 for the Galaxy S25 series, was the firm's inaugural 3 nm GAA mobile on its SF3 node, integrating a 10-core CPU and Xclipse 960 GPU with 39% NPU uplift for generative , achieving 15% overall performance gains over the prior 4 nm 2400. For , Intel's Granite Rapids Xeon 6 processors, entering production in 2024 on the Intel 3 node, supported up to 128 E-cores for AI workloads, delivering 2.4x performance per watt over prior generations. By mid-2025, Intel expanded 3 nm to consumer PCs with chips like Lunar Lake, emphasizing low-power efficiency for laptops. These implementations underscore the 3 nm process's role in enabling denser integration and energy-efficient scaling amid surging AI demand.

References

  1. [1]
    Transistors Reach Tipping Point At 3nm - Semiconductor Engineering
    Feb 23, 2022 · (A node refers to a technology generation's performance specifications, process technology and design rules. A process technology is the recipe ...
  2. [2]
  3. [3]
    3nm Technology - Taiwan Semiconductor Manufacturing
    N3 technology is the industry's most advanced process technology, offering the best performance, power, and area. It achieves a full-node advancement over the ...Missing: Samsung | Show results with:Samsung
  4. [4]
    Samsung Begins Chip Production Using 3nm Process Technology ...
    Jun 30, 2022 · Optimized 3nm process achieves 45% reduced power usage, 23% improved performance and 16% smaller surface area compared to 5nm process.
  5. [5]
    Semiconductor Manufacturing Process | Intel 18A, 3, and 16
    Intel 3: Intel's Ultimate FinFET Node · Evolution of Intel 4 with 1.08x chip density and 18% performance per watt improvement. · Adds denser library, improved ...
  6. [6]
    [PDF] international technology roadmap
    The Front End Processes (FEP) Roadmap focuses on future process requirements and potential solutions related to scaled field effect transistors (MOSFETs), ...
  7. [7]
    [PDF] MORE MOORE - Semiconductor Industry Association
    The main goals of the ITRS include identifying key technical requirements and challenges critical to sustain the historical scaling of CMOS technology per More ...
  8. [8]
    Nanosheet field effect transistors-A next generation device to keep ...
    Impact of nanosheet width/thickness scaling. The concept of GAA NS-FET is first introduced by IBM research group in 2015 [1]. In 2017, IBM research group ...
  9. [9]
    Imec Demonstrates Gate-All-Around MOSFETs with Lateral Silicon ...
    Jun 16, 2016 · Imec demonstrates gate-all-around MOSFETs with lateral silicon nanowires at scaled dimensions. Industry-first achievement advances realization of sub-10nm ...Missing: 3 | Show results with:3
  10. [10]
    Imec improves performance and understanding of stacked nanowire ...
    Dec 3, 2018 · Imec improves performance and understanding of stacked nanowire Gate-All-Around transistors for N3 and beyond. SAN FRANCISCO (USA), DECEMBER 3, ...Missing: 2015-2018 | Show results with:2015-2018
  11. [11]
    (PDF) High-k/metal gates in the 2010s - ResearchGate
    Sep 18, 2015 · Intel was the first to use high-k/metal gate in its 45-nm product. Other leading-edge manufacturers have now launched HKMG products in both gate ...
  12. [12]
    [PDF] Operational Highlights - TSMC Investor Relations
    Feb 28, 2019 · In 2019, TSMC will focus intently on improving EUV quality and adopting more EUV layers in 3nm technology and beyond. In 2018, the EUV program ...
  13. [13]
    IEDM short course 1-2: Device technology for 3nm and beyond
    We invite you to explore some of TSMC research areas in transistor structure, high-mobility channel, and low-dimensional materials and devices. IEDM short ...Missing: paper | Show results with:paper
  14. [14]
    5/3nm Wars Begin - Semiconductor Engineering
    Jan 23, 2020 · “Risk production for TSMC's 3nm finFETs is 2020. Initial volume production is slated for Q3 2021, one quarter ahead of Samsung's 3nm launch.
  15. [15]
    Intel Accelerates Process and Packaging Innovations
    Jul 26, 2021 · Intel 20A ushers in the angstrom era with two breakthrough technologies, RibbonFET and PowerVia. RibbonFET, Intel's implementation of a gate ...<|separator|>
  16. [16]
    Market-Optimized 3nm Physical IP for Armv9-based CPUs
    Jun 16, 2021 · “This helps our mutual customers achieve silicon innovations benefitting from the significant power and performance boost of our 3nm technology ...
  17. [17]
    TSMC Technology Symposium 2022: New World, New Opportunities
    This year, TSMC was excited to meeting our customers in person at the TSMC Technology Symposium for the first time since the pandemic began.Missing: backside demo
  18. [18]
    TSMC's First 3nm ICs in Q1 2023, 3nm Extended Node Incoming
    Oct 15, 2021 · "N3 risk production is scheduled in 2021, and production will start in second half of 2022," said C.C. Wei, chief executive officer of TSMC, ...
  19. [19]
    Samsung's 3nm Yield Reportedly Below 20%, Struggling for Mass ...
    Jun 24, 2024 · The yield rate for Samsung Electronics' latest Exynos 2500 processor has improved to slightly below 20% from single digits in the first quarter.Samsung 3nm 1st generation reaches 'stable' yield, in mass ...Samsung's Foundry Hit with 0% Yield Rate for 3nm GAA ProcessMore results from semiwiki.com
  20. [20]
    MediaTek Beats Apple to Announcing 3nm Chips | Tom's Hardware
    Sep 8, 2023 · MediaTek this week announced that it had successfully taped out its first flagship smartphone system-on-chip using TSMC's 3nm-class fabrication process.
  21. [21]
    [News] Samsung's 3nm Yield Reportedly Stuck at 50%, Far Behind ...
    May 29, 2025 · According to South Korean media outlet Chosun Biz, even after three years of mass production, its 3nm yields remain at just 50%.
  22. [22]
    Intel announces cancellation of 20A process node for Arrow Lake ...
    Sep 4, 2024 · Intel announced today that it no longer plans to use its own 'Intel 20A' process node with its upcoming Arrow Lake processors for the consumer market.
  23. [23]
    Samsung Showcases AI-Era Vision and Latest Foundry ...
    Jun 12, 2024 · Leveraging this accumulated GAA production experience, Samsung plans to mass produce its second-generation 3nm process (SF3) in the second ...
  24. [24]
    Xiaomi's Xring O1 examined: a fast, efficient chip with several ...
    The Xring O1 is fabbed on the TSMC N3E node, the same as MediaTek's Dimensity 9400. And it uses some of the same parts too – eg Cortex-X925 for the CPU and ...
  25. [25]
    Alchip 3DIC Test Chip Tape Out Validates Ecosystem Readiness
    Sep 4, 2025 · Alchip's 3DIC test chip success holds greater than normal implications because provided technical validation of the company's 3DIC ecosystem.Missing: 2024 | Show results with:2024
  26. [26]
  27. [27]
    Gate-All-Around FET (GAA FET) - Semiconductor Engineering
    Gate-all-around FET (GAA FET) is a modified transistor structure where the gate contacts the channel from all sides. It's basically a silicon nanowire with ...<|separator|>
  28. [28]
    TSMC N3 Process Technology Wiki - SemiWiki
    ; Density Increase, +70% logic density, vs. N5 ; Power Consumption, ~30% lower, at same speed.Missing: details | Show results with:details
  29. [29]
    Interconnects Approach Tipping Point - Semiconductor Engineering
    Feb 20, 2025 · For instance, as interconnect pitches approach 20nm, thinning the cobalt liner can lead to poor copper wettability and reliability failures. One ...
  30. [30]
    3 nm lithography process - WikiChip
    Jun 27, 2025 · Commercial integrated circuit manufacturing using 3 nm process is set to begin some time around 2023. The term "3 nm" is simply a commercial ...
  31. [31]
    None
    ### Summary: Equation for Effective Channel Width (W_eff) in Nanosheet GAAFET
  32. [32]
    New Transistor Structures At 3nm/2nm - Semiconductor Engineering
    Jan 25, 2021 · “GAA architectures improve the short-channel control for further gate length scaling and stacked nanosheets improve drive strength per footprint ...<|separator|>
  33. [33]
    Making Chips At 3nm And Beyond - Semiconductor Engineering
    Apr 16, 2020 · Single patterning EUV will extend to roughly 30nm to 28nm pitches. Beyond that, chipmakers require EUV double patterning, which is a difficult ...Missing: CMP | Show results with:CMP
  34. [34]
    EUV Requirements Halved? Applied Materials' Sculpta Redefines ...
    Mar 6, 2023 · TSMC 3nm contains multiple EUV multi-patterning steps. This technology is targeting insertion in “2nm” class nodes, which could contain more ...
  35. [35]
    Atomic layer deposition of high-k dielectrics on III–V semiconductor ...
    The goal of this article is to provide an overview of the state of knowledge regarding the ALD of metal oxides on III–V surfaces focusing mainly on interface ...
  36. [36]
    TWINSCAN NXE:3600D - EUV lithography systems - ASML
    The TWINSCAN NXE:3600D supports EUV volume production at the 5 and 3 nm Logic nodes and leading-edge DRAM nodes.
  37. [37]
    Applied Materials Unveils Next-Gen Chipmaking Products to ...
    Oct 7, 2025 · The system's innovative deposition-etch process continuously adjusts the trench opening as material grows on the side walls and bottom of ...Missing: 3 nm
  38. [38]
    KLA Defect Inspection: Comparing Bright-Field, Multi-Beam & E-Beam
    Jun 25, 2025 · Systems like the eSL10 and eDR7380 can detect defects down to 1–3nm. KLA's e-beam platforms are built for detail: Simul-6™ sensor tech collects ...
  39. [39]
    E-Beam Inspection Proves Essential For Advanced Nodes
    May 8, 2025 · E-beam inspection offers nanometer-scale resolution to catch tiny killer defects that optical tools might miss, but these advantages come with ...
  40. [40]
    Junctionless SOI FinFET with advanced spacer techniques for sub-3 ...
    This high temperature leads to lateral diffusion in source/drain which is unavoidable during annealing and places a restriction on the thermal budget [1].
  41. [41]
    Multi-Beam Writers Are Driving EUV Mask Development
    Jul 25, 2023 · Currently, EUV mask write time is between 14 and 18 hours. Their major concern for high-NA masks are the stitching errors for the half-field ...
  42. [42]
    New applications on multi-beam mask writers to enable mask ...
    Nov 26, 2024 · New applications on multi-beam mask writers to enable mask-making in 3nm and beyond ... e-beam lithography. By introducing multi-beam mask ...Missing: creation cycle
  43. [43]
    TSMC N3, And Challenges Ahead - WikiChip Fuse
    May 27, 2023 · TSMC's newest node is its 3-nanometers “N3” process technology family. Initially planned for a mass production ramp in the second half of 2022.
  44. [44]
    TSMC's 3nm Conundrum, Does It Even Make Sense? – N3 & N3E ...
    Dec 21, 2022 · This report will cover the process node transition, the excessive costs of TSMC's most advanced technology, and how it will significantly ...
  45. [45]
    TSMC's 3nm update: N3P in production, N3X on track
    Apr 23, 2025 · TSMC's N3P is an optical shrink of N3E that retains design rules and IP compatibility while offering 5% higher performance at the same leakage ...Missing: specifications | Show results with:specifications
  46. [46]
    TSMC's 3nm update: N3P in production, N3X on track
    Apr 23, 2025 · TSMC started to produce chips on its performance-enhanced N3P (3rd Generation 3nm-class) process technology in the fourth quarter of 2024.Missing: type | Show results with:type
  47. [47]
    TSMC Showcases New Technology Developments at 2023 ...
    Apr 27, 2023 · These include N3P, an enhanced 3nm process for better power, performance and density, N3X, a process tailored for high performance computing ( ...
  48. [48]
    A closer look at TSMC's 3-nm node and FinFlex technology - EDN
    Aug 22, 2022 · TSMC's 3-nm process node is based on FinFlex technology, which provides chip designers the flexibility and control over their chip design.
  49. [49]
    TSMC FINFLEX™ – Ultimate Performance, Power Efficiency ...
    Jun 16, 2022 · TSMC's N3 transistor leads the 3-nanometer generation of semiconductor process technologies for its PPA (power, performance and area scaling) as ...
  50. [50]
    Xiaomi's XRING 01 Has The Smallest Die Size For Any Current ...
    May 22, 2025 · The choice to stick with TSMC's second-generation 3nm process, also known as N3E, for the XRING 01 has allowed Xiaomi to cram in 19 billion ...Missing: capacity | Show results with:capacity
  51. [51]
    [PDF] 3Q25 Management Report - TSMC Investor Relations
    Oct 16, 2025 · By technology, 3nm process technology contributed 23% of total wafer revenue in 3Q25 while 5nm and 7nm accounted for 37% and 14% respectively. ...
  52. [52]
    [PDF] 2Q25 - TSMC Investor Relations
    Jul 17, 2025 · By technology, 3nm process technology contributed 24% of total wafer revenue in 2Q25 while 5nm and 7nm accounted for 36% and 14% respectively. ...<|separator|>
  53. [53]
    Logic Node - Process Technology - Samsung Semiconductor
    Samsung's 3nm process utilizes gate-all-around (GAA) technology with special nanosheets that widen channels and squeeze in even greater improvements in PPA. GAA ...Missing: TSMC | Show results with:TSMC
  54. [54]
    Samsung Versus TSMC Versus Intel | NextBigFuture.com
    Jul 29, 2025 · TSMC 3nm process node is the best FinFET technology and TSMC dominates semiconductor chip fabrication with higher transistor density, ...
  55. [55]
    Samsung 3nm GAAFET Enters Risk Production - WikiChip Fuse
    Jul 5, 2022 · Translating area reduction into transistor density, it works out to a roughly 1.2x density improvement for first-generation 3GAE which is then ...
  56. [56]
    Samsung to Detail Second-Gen 3nm Node, But Admits It Is Behind ...
    May 8, 2023 · Samsung claims that compared to SF4 (4LPP, 4nm-class, low power plus), SF3 offers a 22% higher performance at the same power and transistor ...
  57. [57]
    Samsung 2nm Process Technology Wiki - SemiWiki
    Jul 13, 2025 · Samsung SF2 (Samsung Foundry 2nm) is Samsung's next-generation advanced logic process node and the successor to its 3nm process family (3GAE ...
  58. [58]
    Samsung's 3nm yields reportedly still far behind TSMC - SamMobile
    May 30, 2025 · Samsung's yields have improved enough for the foundry to supply Exynos 2500 chips that will be used in the upcoming Galaxy Z Flip 7. Yet ...
  59. [59]
    Samsung secures Tesla AI5 chip order as 3nm yield gains boost ...
    Oct 29, 2025 · Samsung Electronics secured the foundry order for Tesla's AI5 chip, which will be jointly produced with TSMC. Improvements in 3nm yield areMissing: investment | Show results with:investment
  60. [60]
    Samsung Electronics Announces New Advanced Semiconductor ...
    Nov 24, 2021 · The estimated $17 billion investment in the United States will help boost production of advanced logic semiconductor solutions that power next- ...Missing: 3nm | Show results with:3nm
  61. [61]
    Intel 4 Process Drops Cobalt Interconnect, Goes with Tried and ...
    Oct 1, 2022 · Intel claimed that the use of cobalt for contacts reduced contact line resistance by 60%, and using it for M0/M1 reduced via resistance by 2x, and improved ...Missing: 3nm vertical
  62. [62]
    7 nm lithography process - WikiChip
    In terms of raw cell-level density, the 7-nanometer node features silicon densities between 90-102 million transistors per square millimeter based on WikiChip's ...Overview · Industry · Intel · TSMC
  63. [63]
    The transistor density of TSMC's 3nm chips will blow your mind
    Apr 20, 2020 · Compared to the current 7nm chips employed at this very moment, Samsung's 3nm chip will provide a 35% performance boost with 50% less energy ...
  64. [64]
    Continued Momentum for Intel 18A - Newsroom
    Intel 18A, Intel Foundry's leading-edge process node, is on track for production in 2025. With RibbonFET and PowerVia, foundry customers will unlock greater ...
  65. [65]
    Intel 18A | See Our Biggest Process Innovation
    The latest advancement in Intel Foundry process technology, featuring RibbonFET and industry-first PowerVia backside-power delivery.
  66. [66]
    Intel Shares Details Of Forthcoming Intel 18A Chips Key To Its ... - CRN
    Oct 9, 2025 · Mainly designed for laptops, Lunar Lake will feature up to 16 CPU cores, 12 GPU cores and 180 trillion operations per second (TOPS) across the ...Missing: track cells<|control11|><|separator|>
  67. [67]
    GLOBALFOUNDRIES Reshapes Technology Portfolio to Intensify ...
    Aug 27, 2018 · Semiconductor manufacturer realigns leading-edge roadmap to meet client need and establishes wholly-owned subsidiary to design custom ASICs.Missing: 3nm process explorations
  68. [68]
    NanoIC pathfinding PDK: empowering academic semiconductor ...
    Oct 24, 2025 · Explore how imec's NanoIC pilot line introduces pathfinding PDKs to accelerate academic innovation in advanced semiconductor design.Missing: open source
  69. [69]
    NEDO Approves Rapidus' FY2025 Plan and Budget for 2nm ...
    Apr 1, 2025 · With the approval of the NEDO project plan and budget, we will start up the pilot line in April, which will steadily lead to the start of mass ...Missing: 3nm | Show results with:3nm
  70. [70]
    TSMC Holds 3nm Volume Production and Capacity Expansion ...
    Dec 29, 2022 · Compared with the 5nm (N5) process, TSMC's 3nm process offers up to 1.6X logic density gain and 30-35% power reduction at the same speed, and ...
  71. [71]
    Opportunities in Device Scaling for 3-nm Node and Beyond: FinFET ...
    Apr 27, 2020 · The performances of FinFET, gate-all-around (GAA) nanowire/nanosheet,and U-shaped FETs (UFETs) are studied targeting the 3-nm node (N3) and beyond CMOS ...Missing: shift process
  72. [72]
    5nm Vs. 3nm - Semiconductor Engineering
    Jun 24, 2019 · The path to 5nm is well-defined compared with 3nm. After that, the landscape becomes more convoluted because foundries are adding half-node ...
  73. [73]
    Advancing To The 3nm Node And Beyond: Technology, Challenges ...
    Aug 19, 2021 · The ability to incorporate air gaps between the metal lines, which can significantly reduce capacitance [5]. Overall, the road to 3nm and ...<|control11|><|separator|>
  74. [74]
    TSMC 3nm FinFlex + Self-Aligned Contacts, Intel EMIB 3 + Foveros ...
    Feb 2, 2023 · FinFlex is an advanced form of fin depopulation. Usually, with fin depopulation, the number of NMOS and PMOS fins is reduced in a standard cell.
  75. [75]
    TSMC's 3-nm progress report: Better than expected - EDN
    Mar 8, 2023 · TSMC's first 3-nm node, known as N3, claims to offer up to 15% improvement in performance at the same power or 30% more efficiency at the same speed.
  76. [76]
    Impact Of GAA Transistors At 3/2nm - Semiconductor Engineering
    Aug 16, 2021 · With GAA FETs, performance is expected to improve by 25%, with power consumption reduced by 50%. With finFETs, both numbers have been roughly in ...
  77. [77]
    The Evolution of Transistor Architectures: FinFET to GAA - LinkedIn
    May 14, 2025 · Parasitic capacitance increases by approximately 15% at 5nm due to fringe and overlap capacitance effects, impacting dynamic power and delay.
  78. [78]
    Understanding Foundry Yields: Why Die Size and Defect Density ...
    Mar 25, 2025 · TSMC: Industry data shows defect densities as low as 0.28 defects/cm² on their mature 5nm and 3nm nodes. What this means: At the same die size ...Missing: 0.1-0.2 cm2
  79. [79]
    In 2025, why did the 2nm chips all miss their release schedules?
    Sep 18, 2025 · Later, the yield rates of N3E and N3P gradually climbed above 80%. The same process will occur at the 2nm node. "The yield rate for product ...
  80. [80]
    Samsung Claims 60-70% Yields for its 3 nm Node | TechPowerUp
    Apr 30, 2023 · Samsung also stated that with 2023-2024 being dominated by 3 nm-class nodes, namely SF3 (3GAP), and its refinement the SF3P (3GAP+), the ...Missing: variants details<|separator|>
  81. [81]
    TSMC vs Samsung 3nm: Are Samsung chips really that far behind?
    Oct 27, 2025 · ... 3nm chips boast roughly 171 million transistors per mm² compared to Samsung's 140 million , ... Their chips edge out Samsung's in transistor ...Missing: 290 MTr/
  82. [82]
    Challenges In Backside Power Delivery - Semiconductor Engineering
    Nov 17, 2022 · One of the key technologies to enable scaling below 3nm involves delivering of power on the backside of a chip. This novel approach enhances ...
  83. [83]
    Apple Unleashes The World's First Smartphone With A '3 Nm ...
    Sep 15, 2023 · Apple's iPhone 15 Pro will be the first smartphone to use TSMC's N3 process, offering new features like powerful graphics.
  84. [84]
    MediaTek beats Qualcomm to the punch with Android's first 3nm ...
    Oct 9, 2024 · The 9400 will be mass manufactured on TSMC's second-generation 3nm process, N3E, to which MediaTek attributes a whopping 40% improvement in ...
  85. [85]
    Exynos 2500 | Mobile Processor | Samsung Semiconductor Global
    Built with the cutting-edge 3nm Gate All Around (GAA) process technology, the Exynos 2500 provides better power efficiency and enhanced heat dissipation at a ...Missing: SF3 | Show results with:SF3
  86. [86]
  87. [87]
    The next wave of smartphones is about to redefine multi-day battery ...
    May 15, 2025 · Combined, we could conservatively look at overall battery life gains in the region of 20%, with 33% or more being a more optimistic scenario.
  88. [88]
    OPPO Find N5 - Ultra Slim Foldable Phone
    OPPO Find N5 is the first 8.93mm ultra-slim foldable phone, featuring 5600mAh battery with 80W SUPERVOOC, 50W AIRVOOC, Snapdragon® 8 Elite chip, and OPPO ...
  89. [89]
    3nm's swan song: Android chipmakers race to outsmart Apple with AI
    Jul 23, 2025 · As the smartphone industry enters the second half of 2025, attention is turning toward the final chapter of 3nm system-on-chip (SoC) ...Missing: adoption 70%
  90. [90]
    NVIDIA and TSMC Celebrate First NVIDIA Blackwell Wafer ...
    Oct 17, 2025 · NVIDIA Blackwell GPUs offer exceptional performance, return on investment and energy efficiency for AI inference. ... 2025 NVIDIA ...Missing: N3E | Show results with:N3E
  91. [91]
    [PDF] advancing-ai-2025-distribution-deck.pdf - AMD
    Jun 4, 2025 · AMD Instinct MI350. Series. Continued Generative AI Leadership. Page 21. AMD Instinct MI350 Series. 3nm process node. 185 billion transistors.
  92. [92]
    AI Power Consumption: Rapidly Becoming Mission-Critical - Forbes
    Jun 20, 2024 · TSMC also states the 3nm process can lower power consumption by as much as 30%. The die sizes are also an estimated 42% smaller than the 5nm. …Missing: advantages FLOPS
  93. [93]
    2025 Global Data Center Outlook - JLL
    Global data center capacity projected to grow at 15% per year but this will not be sufficient to meet growing demand · More than 100 sites worldwide are being ...Missing: 3nm | Show results with:3nm
  94. [94]
    Data center semiconductor trends 2025: Artificial Intelligence ...
    Aug 12, 2025 · By 2030, that figure is projected to grow to nearly $500 billion. AI and HPC are now the dominant use cases, with generative AI alone reshaping ...Missing: 3nm | Show results with:3nm
  95. [95]
    Advanced Technologies for HPC - TSMC
    N3X is 5% faster under the same area using a 2-fin standard cell than N3P ... If a design currently uses TSMC's 3-2 fin FinFlex standard cell to meet speed ...Missing: BSPDN source<|control11|><|separator|>
  96. [96]
    [News] Intel Plans to Shift 3nm Production to Ireland in 2025 ...
    Mar 31, 2025 · Intel is shifting high-volume production of 3nm chips to its Fab 34 facility in Ireland later in 2025, as noted by its 2024 annual report.
  97. [97]
    Analyst: TSMC Hitting 55% Yields on 3nm Node for Apple's A17 ...
    Jul 14, 2023 · TSMC has begun to ramp its next-generation 3nm node in earnest after officially starting the process in the closing days of 2022.
  98. [98]
    Apple, Qualcomm, Nvidia, AMD fully book TSMC's 3nm capacity
    Jun 12, 2024 · N3X and N3A are designed for customized demands such as high-performance computing and automotive clients. The latest high-end chips this ...
  99. [99]
    Intel 3 Process Technology Wiki - SemiWiki
    Jul 14, 2025 · Despite the “3” in the name, Intel 3 does not refer to a 3nm physical gate length; instead, it is a marketing node name equivalent in class to ...
  100. [100]
    Apple's A17 Pro Is a 3nm Chip Powering iPhone 15 Pro, Pro Max
    Sep 12, 2023 · The A17 Pro boasts 19 billion transistors and a 6-core CPU, with two high-performance cores (which Apple calls the "fastest mobile CPU"), up to ...
  101. [101]
    Apple unveils M3, M3 Pro, and M3 Max, the most advanced chips for ...
    Oct 30, 2023 · The M3 family of chips is built using the industry-leading 3-nanometer process technology, and continues the tremendous pace of innovation in ...Apple (CA) · Apple (AU) · Apple (UK) · Apple (RO)Missing: TSMC | Show results with:TSMC
  102. [102]
    Samsung loses out on Snapdragon 8 Elite fabrication to TSMC
    Oct 21, 2024 · Qualcomm has confirmed that the new Snapdragon 8 Elite mobile chipset is being fabricated by TSMC on its 3nm process. - SamMobile.
  103. [103]
    MediaTek Develops First TSMC 3nm Chip | 2024 Launch
    Sep 6, 2023 · MediaTek Successfully Develops First Chip Using TSMC's 3nm Process, Set for Volume Production in 2024. Sep 07, 2023 - 07:00 AM.Missing: timeline | Show results with:timeline<|control11|><|separator|>
  104. [104]
    Samsung's Exynos 2500 Goes Official As The Company's First 3nm ...
    Jun 23, 2025 · After a significant amount of waiting, Samsung has finally announced the Exynos 2500, making it the company's first 3nm GAA chipset.<|separator|>
  105. [105]
    Intel's Clearwater Forest E-Core Server Chip at Hot Chips 2025
    Aug 25, 2025 · Intel's Clearwater Forest E-Core Server Chip at Hot Chips 2025 ... 3nm node in Arrow Lake. It's a showcase of the company's ability to ...