Fact-checked by Grok 2 weeks ago

Photomask

A photomask, also known as a , is a high-precision template consisting of a transparent , typically or , coated with an opaque pattern that allows selective transmission of to project intricate circuit designs onto wafers during . This process is fundamental to (IC) fabrication, enabling the creation of microscopic features essential for modern electronics. Photomasks are constructed from a fused silica () plate, commonly measuring 6 inches by 6 inches, overlaid with an opaque film such as to block light in designated areas, while transparent or phase-altering regions permit patterned exposure. A protective , a thin , is often attached to shield the mask from contaminants during use in fabrication facilities. The patterns on the mask are generated from electronic design data using advanced tools like electron-beam or writers, followed by and rigorous to ensure defect-free precision down to nanometer scales. Several types of photomasks exist to meet the demands of evolving nodes, including binary masks, which use simple chrome-on-glass structures for basic light blocking and transmission; phase-shift masks, which manipulate light wavefronts through thickness variations or attenuated materials like silicide to enhance resolution and reduce effects; and (EUV) masks, which employ multilayer reflective coatings of and to handle 13.5 nm wavelengths for sub-10 nm patterning. A single may require a mask set of 5 to 40 masks, with advanced nodes like 10 nm utilizing up to 76 masks to achieve complex architectures. In manufacturing, photomasks serve as the master originals for transferring patterns via exposure tools such as steppers and , directly influencing device yield, performance, and reliability. Beyond ICs, they are applied in fabricating flat panel displays (FPDs), micro-electro-mechanical systems (), and other microstructured devices, underscoring their versatility in high-volume processes. Ongoing advancements in mask technology, including multi-beam writing and curvilinear patterns, continue to address challenges posed by shrinking feature sizes and increasing complexity in the industry.

Fundamentals

Definition and Purpose

A photomask is a transparent featuring opaque patterns that functions as a master template for projecting designs onto wafers through . This device enables the precise transfer of intricate patterns, with features scaled down to nanometers, from the mask to photoresist-coated wafers via controlled light exposure, facilitating the high-volume production of microchips in fabrication. In the , the photomask serves as the foundational blueprint for every layer in chip fabrication, profoundly influencing manufacturing yield, resolution capabilities, and overall production costs. Its critical role persists across technology nodes, from early 10-micrometer scales to the 2 nm processes, with mass production beginning in the second half of 2025 (as of November 2025), underscoring its enduring significance in enabling smaller, more efficient devices. Photomasks remain essential even as evolves toward (EUV) systems for these advanced nodes. The concept of the photomask originated in the late 1950s and early 1960s with the advent of contact printing techniques in development, establishing it as a cornerstone of technology that has adapted to successive innovations without losing its core function.

Basic Components

A photomask consists of a flat, transparent that serves as the foundational element, providing mechanical support while ensuring optical clarity for light transmission during the process. This substrate is typically synthetic , which offers high purity and low to maintain pattern integrity under exposure conditions. The core of the photomask's functionality lies in its patterned regions, where opaque areas known as absorbers block to define the features transferred to the . These regions include active patterns that replicate the , as well as alignment marks for precise wafer-to-mask registration and test structures used to verify pattern fidelity and process parameters. In a standard photomask, these opaque features are formed by selectively removing portions of the absorber layer, creating transparent openings that allow to pass through and expose the . The typical layout of a photomask follows a -on-glass () structure, in which an opaque layer is deposited on the transparent and patterned to form the desired lines and features. This design includes designated areas for attaching a protective frame, which secures a thin over the mask to prevent particle without interfering with light transmission. The configuration ensures high contrast between opaque and transparent areas, essential for accurate pattern projection in optical systems. Standard photomasks are manufactured in square dimensions, with the most common size being 6 inches by 6 inches (152.4 mm by 152.4 mm) and a thickness of approximately 0.090 inches (2.28 mm) to balance rigidity and compatibility with handling equipment. This sizing accommodates multiple exposures per mask in production environments. Within the photomask's active area, functional zones are organized to optimize efficiency, featuring multiple identical die patterns arranged in rows and columns to enable stepping across the surface. Between these dies, lines provide designated paths for subsequent of the into individual chips, while fiducials—precise reference marks—facilitate alignment during both mask writing and exposure steps. These elements ensure seamless integration into the semiconductor manufacturing workflow.

Historical Development

Early Innovations

The development of photomasks emerged in the and as a critical enabler for the burgeoning field of integrated circuits, coinciding with the invention of the planar manufacturing process at . In 1959, physicist at Fairchild conceived the planar design, which relied on to pattern oxide layers on wafers, necessitating precise masks to transfer circuit patterns. This innovation was first commercialized in 1960 when Fairchild produced the 2N1613 planar , marking the initial practical use of photomasks in semiconductor fabrication. Key pioneers at Fairchild, including Hoerni and engineer , drove these early efforts; Last led the team that fabricated the first planar integrated circuits in 1961 using hand-crafted masks, overcoming challenges in aligning multiple layers for monolithic devices. A significant milestone came in the with the introduction of chrome-on-glass photomasks, which replaced earlier emulsion-on-glass versions by depositing a thin layer on glass substrates for superior opacity, durability, and resistance to defects during repeated use in tools. This shift improved mask longevity and precision, essential for scaling production of early . Early photomask fabrication involved manual techniques, such as drawing patterns on film—a red acetate layer on a transparent base—using tools, followed by photographic to the final 1:1 scale via mercury lamps and contact printing onto . These processes were labor-intensive and prone to errors, with resolutions typically limited to 5-10 micrometers due to the constraints of hand alignment and stability. By the late , tools like photorepeaters began automating steps, but manual intervention remained dominant. Photomasks saw broader adoption with the rise of metal-oxide-semiconductor () technology around 1967, as Fairchild and other firms integrated them into processes for fabricating MOS transistors and logic circuits, enabling higher densities than designs. A major limitation of early contact printing—where masks directly touched wafers, causing wear, contamination, and yield losses—was addressed through the transition to projection systems in the early 1970s, such as PerkinElmer's Micralign tools, which projected mask patterns optically to minimize physical contact and extend mask usability. This evolution supported the production of features down to 2-5 micrometers while boosting wafer throughput.

Advancements in Lithography Nodes

The evolution of photomasks in the and was driven by the need to enhance resolution as nodes approached sub-micron scales, aligning with predictions of doubling densities approximately every two years. In 1982, researchers, led by Marc Levenson, introduced phase-shift masks (PSM) to exploit effects for improved image contrast, enabling patterning at 250 nm half-pitch nodes using i-line at 365 nm wavelength. This innovation addressed limitations in conventional binary masks by introducing phase differences in transmitted light, marking a pivotal step in resolution enhancement techniques (RET). By the early , (OPC) emerged as a complementary method to mitigate diffraction-induced distortions, with initial implementations targeting 180 nm nodes through deliberate mask pattern adjustments. Entering the 2000s, photomask advancements focused on integrating more sophisticated RETs to support aggressive scaling to 90 nm and 45 nm nodes, where traditional 193 nm ArF faced severe resolution challenges. Embedded attenuated PSM (att-PSM) gained widespread adoption during this period, allowing 6-10% light transmission through phase-shifting layers to enhance without the complexity of alternating designs. These masks proved essential for critical layers in logic devices, improving and reducing line- roughness at these nodes. Concurrently, electron-beam (e-beam) writing systems evolved to handle increasingly complex patterns required by OPC and att-PSM, with advanced variable-shaped beam tools reducing exposure times for intricate geometries through optimized shot strategies. This shift enabled the fabrication of masks with millions of sub-resolution features, supporting the intricate layouts demanded by shrinking feature sizes. In the 2010s, photomask development integrated with to extend 193 nm tools to 10 nm nodes, incorporating water immersion to effectively reduce and boost for finer pitches. This era also saw the pre-EUV reliance on techniques, such as self-aligned and patterning, to achieve 14 nm node densities by decomposing single masks into multiple exposures, thereby multiplying effective while managing overlay challenges. The transition to () lithography began in 2019 with production implementation at 7 nm nodes, using reflective EUV photomasks to pattern features down to 2 nm, enabled by 13.5 nm sources and initial 0.33 NA . By 2025, pilot programs for high-NA EUV (0.55 NA) advanced photomask requirements, demonstrating single-patterning viability for sub-2 nm features through optimized absorber stacks and pellicles to minimize defects in high-throughput environments. These developments, propelled by imperatives, reduced patterning steps and enhanced yield for next-generation nodes. Recent innovations in 2025 have leveraged AI-assisted to streamline photomask production, with algorithms enabling curvilinear mask patterns that reduce e-beam write times compared to Manhattan-style layouts, accelerating throughput for advanced nodes. This approach integrates predictive modeling for OPC and RET, fostering efficiency gains while maintaining precision in EUV-era complexity.

Design and Types

Binary Photomasks

Binary photomasks, also known as chrome-on-glass (COG) masks, are the simplest type of transmissive photomasks used in , consisting of fully opaque regions that block light transmission and fully transparent regions that allow complete passage of light. These masks operate on , where the pattern defines clear contrasts between exposed and unexposed areas on the without any phase-shifting elements. The design of photomasks features straightforward patterns of etched onto a fused silica , enabling reliable patterning for features larger than 100 in standard deep (DUV) systems. This simplicity avoids complex , making them ideal for applications in and chip production at technology nodes of 28 and above, where they serve as a cost-effective option for mature semiconductor processes. Binary photomasks provide key advantages in fabrication and operation, including straightforward manufacturing via standard electron-beam lithography and etching, which supports high throughput in production environments. Their ideal on/off transmission characteristics yield a binary contrast of 1, defined as the normalized difference between maximum (transparent) and minimum (opaque) light intensity, ensuring sharp delineation in aerial images for conventional patterning. However, limitations arise from diffraction effects inherent to their amplitude-only modulation, which degrade resolution and contrast for features below 100 nm, necessitating alternative mask technologies for finer nodes.

Phase-Shift Masks

Phase-shift masks (PSMs) enhance in optical by exploiting differences in transmitted to produce destructive at feature edges, thereby improving and enabling sharper patterns compared to masks. This technique introduces a 180-degree shift between adjacent transparent regions, causing out-of-phase to cancel each other near edges and create darker lines in the aerial . This can result in up to a twofold improvement in for periodic line features compared to conventional masks, depending on the . Two primary types of PSMs are commonly employed: alternating PSMs and embedded attenuated PSMs. Alternating PSMs, also known as Levenson masks, utilize or alternating patterns where adjacent clear areas are etched to create the 180-degree shift, particularly effective for periodic line features through strong destructive . Embedded attenuated PSMs, on the other hand, incorporate a semi-transparent layer (typically ) that allows 6-10% light transmission while imparting the shift, making them suitable for random patterns by reducing the need for complex assignment. Key design elements of PSMs include precise to form phase-shifting layers and integration of (OPC) to mitigate diffraction-induced distortions. The depth in the is calculated as d \approx \frac{\lambda}{2(n-1)}, where \lambda is the exposure and n is the of , ensuring the exact 180-degree difference. OPC is applied to PSM layouts by adding sub-resolution assist features and adjusting edges to compensate for proximity effects, enhancing pattern fidelity across varying densities. PSMs have been critical for fabricating devices at advanced nodes from 65 down to 10 , where they enable the printing of sub-wavelength features through enhanced contrast in deep lithography. Prior to the widespread adoption of (EUV) systems, PSMs were integral to schemes, such as double patterning, to achieve the required for high-density interconnects and transistors in microprocessors. The of PSMs introduces significant challenges, primarily due to their increased fabrication complexity, which can significantly increase costs compared to binary masks through the need for specialized blanks, precise , and advanced . This complexity also demands careful conflict in alternating designs and tighter control over material uniformity in attenuated types to avoid printability defects.

Materials and Fabrication

Substrate and Absorber Materials

The substrate of a photomask serves as the foundational transparent or reflective base, selected for its optical transparency, thermal stability, and minimal distortion under conditions. Fused silica, an amorphous form of , is the predominant substrate for deep ultraviolet (DUV) photomasks due to its exceptionally low coefficient of —approximately 0.5 × 10⁻⁶/°C—which prevents pattern warping during exposure and handling. It also exhibits high transmission exceeding 90% at 193 nm wavelengths, ensuring efficient light propagation in advanced ArF systems. For older technology nodes, such as those above 180 nm, soda-lime glass substrates are employed, offering cost-effectiveness while providing adequate optical flatness and transmittance for g-line and i-line exposures, though with higher rates around 9 × 10⁻⁶/°C compared to fused silica. In (EUV) photomasks, low-thermal-expansion glasses like Corning's ULE 7973 titania-silicate are used, achieving coefficients below 0.03 × 10⁻⁶/°C to maintain overlay accuracy in multilayer reflective stacks. Absorber materials are critical for defining opaque patterns that block selectively, with properties optimized for near-total and minimal at specific wavelengths. In binary DUV photomasks, serves as the standard absorber, providing 100% opacity at 248 nm (KrF) wavelengths through its high , which effectively attenuates without residual in patterned areas. For EUV applications at 13.5 nm, tantalum-based compounds such as (TaN) and tantalum (TaBO) are preferred, offering high contrast ratios greater than 30:1 due to their strong in the EUV while maintaining compatibility with multilayer reflectors. These materials are deposited in thin films (typically 50-70 nm) to minimize phase errors and shadowing effects in high-numerical-aperture systems. Key optical properties of these materials ensure precise pattern transfer. For DUV absorbers like , reflectivity is controlled below 5% across 193-248 nm to suppress unwanted reflections that could degrade . In EUV photomasks, the reflective multilayer consists of 40-50 alternating pairs of (Mo) and (Si) layers, each pair approximately 6.9 nm thick, achieving peak reflectivity of about 70% at 13.5 nm through constructive tailored to the . To mitigate ghosting and effects in DUV systems, anti-reflective coatings such as chrome oxynitride (CrON) are applied atop the absorber, reducing surface reflectivity to under 20% and enhancing pattern clarity by minimizing light bounce-back. As of 2025, advancements in photomask fabrication emphasize defect-free EUV blanks to support high-numerical-aperture (high-NA) , targeting particle densities below 0.01 per cm² on substrates to enable sub-2 nm node production without yield losses from contamination. These ultra-clean blanks, often produced via improved and cleaning protocols, address the heightened sensitivity of high-NA EUV systems to even nanoscale defects.

Manufacturing Process

The manufacturing process of photomasks commences with blank preparation, where a fused silica is meticulously cleaned using chemical and ultrasonic methods to eliminate contaminants and ensure surface flatness within nanometer tolerances. An opaque absorber layer, typically , is then deposited onto the cleaned via using , achieving a uniform thickness of 80-100 to provide the required optical density for light blocking. Pattern writing follows, employing (e-beam) systems capable of address grids finer than 4 nm to define intricate features with sub-10 nm precision. These systems utilize either raster scanning, which systematically sweeps the beam across the entire field in a pixelated grid, or vector scanning, which directs the beam along vector-defined shapes to optimize exposure efficiency for complex geometries. For leading-edge masks supporting nodes below 7 nm, the writing process demands 10-20 hours per mask, reflecting the high data volumes and proximity effect corrections needed to mitigate . Subsequently, the exposed is developed to expose the underlying pattern, followed by to transfer it into the absorber. Chrome is predominantly performed via dry plasma processes using with chlorine-based chemistries, enabling anisotropic profiles and control under 5 . For phase-shift masks (PSM), an additional step is integrated, employing HF-based wet to achieve precise phase depths of approximately 180 degrees at the operating , often in a two-step process combining dry and wet methods for sidewall control. Post-etching, the mask undergoes with megasonic agitation in ozonated or alkaline solutions to dislodge particles and residues while minimizing , typically achieving particle removal efficiencies above 90% for sub-50 nm defects. is then conducted using scanning electron microscopy (CD-SEM) to verify dimensions, with tolerances held below 5 nm across the mask plate to meet specifications. Yields for advanced EUV photomasks are often around 50%, limited by defect densities and process variability in e-beam writing and etching. As of 2025, integration of AI-driven optimization in pattern fracturing and dose correction has improved manufacturing reliability for sub-3 nm nodes.

Operational Principles

Photolithography Integration

In photolithography, the photomask acts as the primary template for transferring intricate circuit patterns onto a silicon wafer coated with photoresist. For deep ultraviolet (DUV) systems operating at a 193 nm wavelength, illumination passes through the transmissive mask, where transparent regions allow light to reach the wafer while opaque areas block it, and projection optics reduce the pattern size typically by a factor of 4x before focusing it onto the wafer surface. In extreme ultraviolet (EUV) lithography at 13.5 nm, the process differs as the mask is reflective: EUV light incidents on the multilayer mirror structure, reflects selectively from patterned areas, and is then demagnified by similar reduction optics to expose the wafer, enabling finer features due to the shorter wavelength. Photolithography tools, such as steppers and , integrate the through precise stage movements: the mask () stage and stage synchronize to or step across the field, ensuring uniform exposure of the entire in a single pass or multiple steps, with typical throughputs ranging from 100 to 200 per hour depending on the and system configuration. The of features transferred from the mask is fundamentally limited by the Rayleigh criterion, expressed as: \text{CD} = k_1 \frac{\lambda}{\text{NA}} where CD is the critical dimension (minimum feature size), k_1 is a process-dependent factor typically between 0.25 and 0.6, \lambda is the illumination wavelength, and NA is the numerical aperture of the projection system; photomasks contribute by supporting resolution enhancement techniques that reduce k_1, such as phase-shift masks briefly referenced here for contrast with binary types. Precise between the photomask and is essential for multilayer patterning, achieved via fiducials on the mask and corresponding alignment marks etched into the wafer, which detect optically to position layers accurately; for advanced 5 nm nodes, overlay tolerances must be maintained below 3 nm to prevent loss from misalignment. By 2025, integration advances focus on high-NA EUV with NA = 0.55, which amplify mask 3D effects like shadowing and shifts, requiring computational compensation models during mask and to preserve integrity at sub-2 nm scales.

Mask Error Enhancement Factor (MEEF)

The Mask Error Enhancement Factor (MEEF) quantifies the amplification of critical dimension (CD) errors from the photomask to the printed wafer pattern in photolithography processes. It is defined as the ratio of the change in wafer CD to the change in mask CD, normalized by the system's demagnification factor M (typically 4 for modern steppers and scanners): \text{MEEF} = \frac{\Delta \text{CD}_\text{wafer}}{\Delta \text{CD}_\text{mask}} \times M. An ideal linear imaging process yields MEEF = 1, meaning wafer errors scale directly with mask errors adjusted for demagnification. In practice, non-linear effects cause MEEF > 1, particularly in advanced nodes. MEEF increases with shrinking feature sizes, aggressive lithography (low k_1 factor, where k_1 < 0.3), and phase errors in advanced mask types, as these conditions reduce image contrast and amplify error transfer. For sub-10 nm nodes, MEEF values typically range from 2 to 5 for dense patterns, demanding tighter mask CD tolerances (e.g., <1 nm 3σ) to maintain wafer fidelity. An approximate relation capturing blur effects is \text{MEEF} \approx 1 + \frac{\sigma}{\text{pitch}}, where \sigma represents optical or resist blur and pitch is the feature spacing; higher blur relative to pitch exacerbates error enhancement. Measurement of MEEF relies on tools like the Aerial Image Measurement System (AIMS), which simulates wafer-plane aerial images from the mask under process conditions (e.g., specific illuminator shape and NA) to derive CD variations from intentional mask biases. This is critical for nodes at 7 nm and below, where simulations correlate AIMS data with wafer prints to predict error propagation without full wafer exposure. Mitigation strategies include sub-resolution assist features (SRAFs), which improve image contrast and process windows, thereby reducing MEEF in dense arrays by balancing intensity around main features. Additionally, 2025-era optical proximity correction (OPC) models incorporate stochastic effects from photon shot noise and resist fluctuations, enabling more robust error compensation in model-based OPC flows. High MEEF (>3) significantly impacts by magnifying CD errors >2 into wafer-scale deviations that exceed tolerances, potentially halving yields in critical layers through increased across-chip linewidth variation (ACLV) and defect printability. This amplification underscores the need for precise fabrication to sustain economic viability in sub-10 nm production.

Advanced Features and Challenges

Pellicles

Pellicles serve as essential protective covers for photomasks in , consisting of a thin stretched taut across an aluminum or similar frame and mounted approximately 5-10 mm above surface to create a . This design allows airborne particles to impact the membrane rather than the delicate mask patterns below, thereby preventing defects during exposure without introducing optical distortions. The , typically fluoropolymer-based for deep ultraviolet (DUV) applications, has a thickness of around 0.8-1 μm to balance mechanical stability and light transmission. The primary function of a is to particles larger than 0.1 μm on its surface, where they remain out of the of the , avoiding any shadowing or printing of contaminants onto the . By maintaining a sealed, clean within the , extend photomask usability and reduce associated with or defect mitigation. In operation, the positioning ensures that any trapped particles do not interfere with the projected image, preserving pattern fidelity across high-volume . For DUV lithography at wavelengths like 193 , pellicles employ amorphous fluoropolymers such as Teflon AF, developed by in the 1980s, which offer exceptional optical clarity with transmission exceeding 99%. These materials provide low absorption and high resistance to chemical degradation, enabling reliable performance in aggressive lithographic environments. In contrast, (EUV) pellicles utilize thinner membranes, 10-50 thick, made from materials like or carbon nanotubes (CNTs) to accommodate the vacuum conditions and intense heat loads exceeding 600°C, up to over 1000°C, with CNT-based membranes providing enhanced thermal management. Pellicles were first introduced in the by for DUV applications, revolutionizing contamination control in manufacturing. EUV pellicles, critical for advanced nodes, saw initial around 2019 alongside high-volume EUV tool deployment, with ongoing advancements by 2025 focusing on improved EUV transmission nearing 90% to minimize absorption impacts. As of 2025, companies like Chemicals are preparing for of CNT pellicles to support high-power EUV systems. These developments have been driven by collaborations between equipment makers like and material suppliers to meet the demands of sub-7 nm processes. Key challenges for s, particularly in EUV systems, include in environments, which can introduce molecular contaminants and degrade performance over time. Additionally, ensuring a lifetime of over 1000 exposures per remains a focus, as from EUV irradiation can lead to membrane deformation or failure, necessitating robust designs to support sustained high-throughput production.

EUV Photomasks

EUV photomasks represent a fundamental shift in mask technology for (EUV) , operating at a of 13.5 to enable patterning of features below 7 . Unlike traditional transmissive masks, EUV photomasks are reflective, consisting of a coated with a multilayer stack of approximately 40 pairs of () and () layers, each with a period of 13.5 , designed to achieve over 70% reflectivity at the EUV . This multilayer is topped by a (Ta)-based absorber layer, typically 50-70 thick, which patterns the desired features by blocking EUV light reflection. The structure ensures high contrast while minimizing absorption losses in the reflective system. Key differences from deep ultraviolet (DUV) photomasks include their non-transmissive nature, relying on rather than , which necessitates operation in a environment to prevent EUV light absorption by air. Additionally, EUV masks exhibit a higher mask error enhancement factor (MEEF) of 4-6, compared to 1-3 in DUV, primarily due to shadowing effects from the illumination angles (around 6 degrees) in EUV , which amplify pattern errors on the . These attributes demand specialized handling and to mitigate asymmetry in printed features. Fabrication of EUV photomasks begins with ion-beam deposition of the Mo/Si multilayer onto a low-thermal-expansion , achieving roughness below 0.2 nm RMS to preserve reflectivity and minimize . The absorber is then patterned using electron-beam (e-beam) , with a thin capping layer (typically 2-5 nm) on the multilayer, and sometimes a buffer layer of materials like SiO2 (20-50 nm) to protect the delicate multilayer during and ensure precise feature definition at sub-50 nm scales. This process requires ultra-clean environments to avoid defects that could propagate through the stack. Significant challenges in EUV photomasks arise from three-dimensional () effects, including topographic that causes non-uniform illumination and horizontal-vertical feature biases, exacerbated by the mask's . Stochastic from and defect-induced phase shifts further complicates yield at advanced nodes. By , the transition to high-numerical-aperture (high-NA) EUV systems necessitates thinner absorbers (below 50 nm) to reduce shadowing and improve resolution for 2 nm nodes, while maintaining optical performance. Since their introduction in production for 5 nm nodes in , EUV photomasks have become standard for critical layers in 5 nm to 2 nm processes, enabling complex logic and devices. By 2025, refinements in defect mitigation and process control have supported the scaling of for and .

Inspection and

Defect Types and Detection

Photomask defects are broadly classified into hard, soft, and defects, each with distinct characteristics and implications for lithographic performance. Hard defects are permanent structural anomalies in the mask pattern, such as extensions, missing absorber regions, or unintended bridges between features, which arise from errors in the patterning process and cannot be easily removed. Soft defects, in contrast, are transient and removable contaminants, primarily particles or residues that adhere to the mask surface, often capable of light and altering transmission. defects involve subtle variations in the phase of transmitted or reflected , typically due to etch depth errors in phase-shift masks, leading to constructive or destructive that distorts the aerial on the . In (EUV) photomasks, an additional category of multilayer buried defects predominates, where pits, bumps, or particles embedded within the Mo/Si multilayer stack induce phase shifts without surface visibility, complicating detection and potentially printing as defects even at sub-20 nm scales. These defects originate primarily from contamination during fabrication processes and writing errors during , with EUV-specific buried defects often introduced during multilayer deposition on the . Contamination sources include airborne particles in cleanrooms or residues from handling, while writing errors stem from beam instabilities or dosage inaccuracies in mask patterning. Detection of these defects relies on advanced inspection techniques tailored to mask complexity. Die-to-die optical , operating at 193 nm wavelengths, compares adjacent dies on the for discrepancies, achieving sensitivities down to 20 nm for hard and soft defects through brightfield and darkfield modes. For EUV masks, actinic tools, which use EUV wavelengths to mimic lithographic conditions, provide superior detection of and buried defects; as of 2025, systems like the ACTIS series offer resolutions to 15 nm with throughputs exceeding 100 masks per hour, enabling high-volume screening without compromising accuracy. As of late 2025, the ACTIS A200HiT series from Lasertec offers enhanced throughput for high-volume EUV screening. These methods incorporate for reference image generation to reduce false positives, maintaining false defect rates below 10% in production environments. Achieving low defect densities is critical for advanced nodes, with standards targeting below 0.01 defects per cm² for sub-7 EUV masks to ensure reliable performance. A single critical defect, particularly in high-density patterns, can propagate to the , significantly reducing across a production lot (potentially by tens of percent) due to replicated patterning errors across multiple dies. This underscores the need for rigorous to mitigate impacts, as even non-printing defects detected early prevent downstream losses in fabrication.

Repair Techniques

Photomask repair techniques are essential for correcting defects identified during , ensuring masks remain viable for production without necessitating costly replacement. These methods primarily target opaque, transparent, and defects in the absorber layers, using precision tools to remove or deposit material at the nanoscale. (FIB) systems, employing ions (Ga⁺), enable removal and deposition with a beam below 5 , allowing for accurate milling of unwanted absorber material or addition of carbon-based deposits to fill missing features. Laser ablation serves as a complementary approach for addressing soft defects, such as particulate or minor , by vaporizing the material without significantly affecting surrounding structures. or pulsed lasers heat and ablate excess absorber or particles, achieving clean removal on both DUV and EUV while minimizing thermal damage to the . Phase defects, which alter the phase of transmitted light due to topography variations, require specialized restoration of layer depth. Nano-etching techniques, often using e-beam induced processes, selectively remove or deposit material to correct height discrepancies, while e-beam induced repair achieves precision for features below 10 by enabling gas-assisted or deposition directly at the defect site. For EUV photomasks, repair must preserve the integrity of the underlying multilayer reflector to avoid reflectivity loss. Electron beam tools perform actinic-compatible repairs at low voltages (e.g., 400 V) to minimize and to the multilayer stack, targeting absorber defects without compromising EUV performance. In 2025, AI-guided repair systems enhance precision by automating defect localization and process optimization, achieving high success rates for first-pass corrections on advanced nodes. The repair workflow begins with post-inspection localization of defects using high-resolution , followed by targeted application of the chosen technique. Verification occurs via scanning electron microscopy (CD-SEM) for dimensional accuracy and aerial image measurement systems (AIMS) to confirm lithographic printability, ensuring the repaired meets specifications. Despite advancements, repair capabilities have inherent limitations; typically, only a few defects per can be addressed effectively due to risks of introducing new artifacts or cumulative processing effects. Each repair incurs significant costs (often tens of thousands of dollars), driven by specialized equipment and the high value of photomask sets (up to $50 million or more for advanced nodes as of 2025), making multiple fixes uneconomical compared to mask rejection.

Industry Landscape

Leading Manufacturers

Photronics Inc., headquartered , leads the advanced photomask segment with a focus on (EUV) for nodes at 7nm, 5nm, and 3nm, enabling high-resolution patterning for leading-edge integrated circuits. The company has expanded its production capabilities through investments in multi-beam mask writers, including the first U.S. installation of such a system in 2025 to support domestic chipmaking and EUV mask fabrication. Photronics maintains partnerships with major foundries to deliver specialized masks for advanced processes. Toppan Photomasks (rebranded as Tekscend Photomask Corp. in late 2024), based in , excels in phase-shift masks (PSM) for flat-panel displays and EUV photomasks tailored for 2nm and 3nm logic semiconductors, incorporating collaborations with entities like for . Its strengths lie in high-precision manufacturing that enhances and in applications. Dai Nippon Printing Co. Ltd. (DNP), another Japanese powerhouse, commands a substantial share in logic photomask production, with expertise in EUV masks for beyond-2nm generations and the integration of multi-beam writing tools to accelerate development for 2nm processes. DNP partners with initiatives like to advance high-volume manufacturing for next-generation logic chips. Together, Photronics, , and DNP collectively hold an estimated 40-50% of the global photomask market share as of 2025, dominating advanced semiconductor applications. Supporting these leaders are suppliers of foundational components: , also from , specializes in photomask blanks critical for EUV , providing low-defect substrates with multilayer reflective coatings. , likewise Japanese, focuses on EUV photomask substrates and blanks, featuring low-thermal-expansion glass with advanced optical films to ensure pattern fidelity in sub-5nm fabrication. Emerging manufacturers, such as Shenzhen Qingyi Photomask Limited, are gaining traction in niche and cost-sensitive segments, contributing to Asia's expanding role in the . Overall, the industry's geographic distribution centers on , which dominates production due to semiconductor hubs in , , , and , accounting for over 36% of the market in recent years while and handle significant advanced R&D and capacity. The global photomask market is estimated at approximately USD 5.6 billion in 2025, driven by increasing demand for advanced nodes, with an expected (CAGR) of around 4.7% leading to a market size of USD 7.0 billion by 2030. The (EUV) segment is a key growth area, anticipated to represent about 25-30% of total revenue by 2025 and expanding rapidly due to its role in sub-5nm fabrication, with the EUV photomask market alone valued at USD 1.25 billion in 2024 and forecasted to grow at a CAGR of 11.8% through 2033. Key drivers include the surging demand for complex photomasks in AI accelerators and chips at 2nm and below, where advanced nodes require intricate patterns to enable higher transistor densities and performance gains essential for AI applications. Additionally, geopolitical tensions and policy initiatives like the U.S. CHIPS Act and equivalent European measures are accelerating supply chain diversification, prompting investments in domestic photomask production in and to reduce reliance on Asia-Pacific manufacturing. Challenges persist, particularly with EUV photomasks, where lead times have extended to 20-30 weeks due to capacity constraints and the need for defect-free multilayer blanks, exacerbating delays in chip production schedules. High-end EUV masks also command costs exceeding USD 100,000 per unit, often reaching USD 350,000 for blanks alone, driven by stringent precision requirements and limited supplier infrastructure. In 2025, emerging trends include the integration of (AI) in photomask design and inspection processes, which is expected to reduce overall costs by 15-20% through automated defect detection and optimized layout generation, improving rates in high-volume . Sustainability efforts are also gaining traction, with initiatives exploring eco-friendly substrates such as recycled silica-based materials to minimize environmental impact while maintaining optical performance standards. Looking ahead, high-numerical-aperture (high-NA) EUV technology is poised for dominance by 2027, enabling sub-2nm nodes with enhanced resolution and supporting the next wave of and chips, though it will further elevate mask complexity and costs. Meanwhile, emerges as a potential disruptor, offering a lower-cost alternative to traditional photomasks by directly imprinting patterns without light sources, potentially challenging EUV adoption in cost-sensitive applications if scalability improves.

References

  1. [1]
    Photomask - Semiconductor Engineering
    A photomask is basically a “master template” of an IC design. A mask comes in different sizes. A common size is 6- x 6-inch.
  2. [2]
    Photomask Production - Heidelberg Instruments
    Photomasks are the high-precision master templates essential for photolithography, the cornerstone technology in modern microfabrication.
  3. [3]
    Photomask | Applied Materials
    A photomask is a fused silica (quartz) plate, typically 6 inches (~152mm) square, covered with a pattern of opaque, transparent, and phase-shifting areas that ...
  4. [4]
    Photomask | Glossary | Lasertec Corporation
    Photomask plays a role of an original plate for forming electronic pattern on wafer. Photomask is sometimes called simply "mask" or is called "reticle." ...
  5. [5]
    Photomask manufacturing | Hamamatsu Photonics
    Photomask manufacturing is a critical aspect of semiconductor production, involving the creation of precise patterns that are subsequently transferred onto ...
  6. [6]
    Semiconductor Manufacturing - LAITS
    The photolithographic process is the transfer of an image from the photomask to a wafer through the use of a photosensitive material called photoresist.
  7. [7]
    Semiconductors - Definitions | Occupational Safety and Health ...
    See Device Fabrication. Photomask: A mask that delineates the pattern applied to a substrate during photolithography. See Device Fabrication - Mask ...Missing: manufacturing | Show results with:manufacturing<|control11|><|separator|>
  8. [8]
    Disruptive Changes Ahead For Photomasks?
    Jun 25, 2025 · Evolving lithography demands are challenging mask writing technology, and the shift to curvilinear is happening.
  9. [9]
    [PDF] Design-technology Co-optimization for Sub-2 nm ... - DSpace@MIT
    Transistors have downsized from the large 10-micrometer technology nodes of the past to the near-atomic scales of today. These miniature transistors have ...
  10. [10]
    2 nm process - Wikipedia
    TSMC began risk production of its 2 nm process in July 2024, with mass production planned for the second half of 2025, and Samsung plans to start production in ...1 nm · Multigate device · Angstrom
  11. [11]
    Metrology Advances Step Up To Sub-2nm Device Node Needs
    Oct 15, 2024 · Fab processes that enable stacked transistors, hybrid bonding, and advanced packaging are driving the need for more and better measurements.
  12. [12]
    Microfabrication : Origin and Development | DNP Group
    DNP continued to refine its microfabrication technology, and in 1959 the Company successfully produced a prototype of a photomask, the master for forming ...
  13. [13]
    Semiconductor Lithography (Photolithography) - The Basic Process
    Lithographic printing in semiconductor manufacturing has evolved from contact printing (in the early 1960s) to projection printing (from the mid 1970s to today) ...<|control11|><|separator|>
  14. [14]
    [PDF] P h o t o m a s k s - TOPPAN
    146 x 146 mm. 120 x 120 mm. Page 2. Standard Photomask Specifications. Laser Beam Exposure. 50kv Electron Beam Exposure. 項目. Standars. Qz Substrate.
  15. [15]
    Mask Terminology - PHOTOMASK PORTAL
    In its simplest form, a photomask is a thin coating of masking material supported by a thicker substrate. The masking material absorbs light to varying ...
  16. [16]
    1959: Invention of the "Planar" Manufacturing Process
    Sep 15, 2007 · Fairchild introduced the 2N1613 planar transistor commercially in April 1960 and licensed rights to the process across the industry. The billion ...
  17. [17]
    The Silicon Dioxide Solution - IEEE Spectrum
    Dec 1, 2007 · In April 1960, Fairchild sold its first planar transistor, the 2N1613—a metal cylinder about half a centimeter in diameter and almost as high, ...
  18. [18]
    The History of the Semiconductor Photomask - by Jon Y
    Dec 14, 2022 · Early photomasks used rubylith, then evolved to master masks, and now EUV masks, which are mirrors, are used. The industry has gone from hand- ...<|separator|>
  19. [19]
    1960: First Planar Integrated Circuit is Fabricated | The Silicon Engine
    The first working monolithic devices produced on May 26 1960 used physical isolation to achieve electrical separation between components. Deep channels were ...
  20. [20]
    Photonics aids the march of Moore's Law - SPIE
    Mar 1, 2025 · Part of the advances needed to keep Moore's Law going involve photonics. First, there's photolithography, for decades a key driver for semiconductor ...Missing: photomasks advancements
  21. [21]
    [PDF] Page 1 - Chris Mack, Gentleman Scientist
    Phase-shifting Masks. • Light has both amplitude and phase. – Phase influences ... – First invented by Marc Levenson of IBM in 1982. © Chris Mack. 7. Making ...
  22. [22]
    [PDF] optical proximity correction for resolution enhancement technology
    May 16, 1994 · arise when optical proximity correction (OPC) is used for 2-D patterns. As discussed in previous sections, optical lithography has never ...Missing: 180nm | Show results with:180nm
  23. [23]
    Optical Proximity Correction (OPC) - SignOff Semiconductors
    Oct 27, 2023 · OPC is a resolution enhancement technique based on optical lithography. It is used in sub-wavelength lithography to deal with the severe image distortions.
  24. [24]
    [PDF] Layout Impact of Resolution Enhancement Techniques - CECS
    Now commonly called 'embedded attenuated phase masks', these attPSM use mask substrates that allow a small amount of light (6-10%) to penetrate the normally.
  25. [25]
    Writing strategy and electron-beam system with an arbitrarily shaped ...
    Dec 14, 2004 · A writing strategy using an arbitrarily shaped beam (ASB) results in a considerably smaller number of flashes to write a complex pattern ...
  26. [26]
    [PDF] Electron Beam Mask Writing System for High-precision Reticles
    Nakahara et al., “Advanced E-beam Reticle Writing System for Next Generation Reticle Fabrication,” Photomask Japan. 2000 (to be published). (2) K. Mizuno et al.
  27. [27]
    (PDF) Immersion Lithography: Photomask and Wafer-Level Materials
    Aug 6, 2025 · In this article, we highlight the recent materials advances in photomasks, immersion fluids, topcoats, and photoresists.
  28. [28]
    [PDF] Bridging the Gap from Mask to Physical Design for Multiple ...
    ABSTRACT. Due to the delay of EUVL, multiple patterning techniques have been used to extend the 193nm lithography to. 22nm/14nm nodes, and possibly further.
  29. [29]
    TSMC's N7+ Technology is First EUV Process Delivering Customer ...
    Oct 7, 2019 · The N7+ process with EUV technology is built on TSMC's successful 7nm node and paves the way for 6nm and more advanced technologies.Missing: 2nm | Show results with:2nm<|separator|>
  30. [30]
    Photomask Changes And Challenges At Mature And Advanced Nodes
    Jun 16, 2025 · Experts at the Table: Semiconductor Engineering sat down to discuss the current state and future direction of mask-making, ...
  31. [31]
    [PDF] The potential impact of artificial intelligence on the photomask industry
    This could lead to improved design efficiency, reduced mask write time, and enhanced yield rates. Furthermore, AI may also aid in the development of resolution.
  32. [32]
    What are Photomasks? Types, Applications and Inspection
    A photomask is a quartz or glass plate with an opaque pattern used to transfer micro-patterns onto semiconductor wafers via a photolithography process.
  33. [33]
    Leading-Edge Advanced Photomasks - Photronics Inc
    Our advanced binary reticles easily support production nodes from 14nm to 28nm, with development and innovation at 7nm and below, including EUV, clearly in our ...
  34. [34]
    Photomask Shortages Grow At Mature Nodes
    Apr 21, 2022 · Measuring 6 x 6 inches and ¼-inch thick, an optical-based photomask consists of an opaque layer of chrome on a glass substrate. For more ...
  35. [35]
    [PDF] A Comparative Review of Binary, Phase- Shift, and EUV Mask
    Abstract: This report investigates essential photomask technologies in semiconductor manufacturing and their developments.
  36. [36]
    Lithography using binary mask - Ansys Optics
    The transmission characteristic is "binary" in the sense that the field transmitted is approximately "1" in the transparent region and "0" in the opaque region.
  37. [37]
    Photomask Technology 2009 - SPIE Digital Library
    Sep 17, 2009 · Studies have shown the advantages of polarized light[2,3] as well as the impact of various mask materials on high NA lithography[4]. In this ...
  38. [38]
    Edge effects characterization of phase shift mask - AIP Publishing
    Mar 9, 2005 · Thus, the theoretical etch depth is d etch = 0.5 λ ( n substrate − 1 ) ⁠, where n substrate is the refractive index of the fused silica ...
  39. [39]
    Binary Mask vs. Phase-Shift Mask: What's the Difference?
    Jul 28, 2025 · They provide clear contrast between the exposed and unexposed regions on a wafer, which is essential for defining precise circuit patterns.
  40. [40]
    Properties of fused silica for 157-nm photomasks - SPIE Digital Library
    For example, the thermal expansion and Young's Modulus of modified fused silica are slightly lower than that of Corning HPFSR, while thermal conductivity is ...
  41. [41]
    Fused Silica Wafers and Substrates (Corning 7940 & 7980)
    It is known for its excellent optical properties, high ultraviolet transmission, and low thermal expansion. Its uses include photomask substrates, furnace ...
  42. [42]
    Photomask for Semiconductor Devices 2025-2033 Overview
    Rating 4.8 (1,980) Apr 26, 2025 · Soda Lime Masks: These masks are cost-effective and suitable for applications with less stringent resolution requirements, such as older node ...
  43. [43]
    Euv photo masks and manufacturing method thereof - Google Patents
    In some embodiments, the absorber layer 25 is made of TaN, TaO, TaB, TaBO or TaBN having a thickness from about 25 nm to about 100 nm. In certain embodiments, ...
  44. [44]
    Ru/Ta bilayer approach to EUV mask absorbers - ScienceDirect.com
    The optical properties and geometry of EUV mask absorbers play an essential role in determining the imaging performance of a mask in EUV lithography.
  45. [45]
    [PDF] October - Photomask
    DUV reflectance is still around 5% for both ArF and KrF light.3,4. As it was mentioned, black border is required to be optically dark for both EUV light and ...
  46. [46]
    [PDF] Image Placement Error due to non-Flatness of EUV Photomask
    - Reflectivity is built up with a multilayer stack consisting of 40 to 50 layer pairs of Mo and Si, to achieve 65 to 70% reflectivity. - This enables an all ...
  47. [47]
    CN101424873A - Photo mask using soda-lime glass as substrate ...
    The photo mask comprises a soda-lime glass substrate, a chromium nitrogen oxide shading film layer and a chromium nitrogen oxide anti-reflecting film layer, and ...Missing: older nodes
  48. [48]
    Laser Photomask Market Size, Share & Growth Report, 2025-2034
    The shift to EUV lithography for sub 7 nm nodes is a case in point; it needs photomasks with defect densities lower than 0.01/cm². ... ASML's High-NA EUV ...
  49. [49]
    Recent progress in the fabrication of low defect density mask blanks
    We have also obtained a "champion" mask blank with an added defect density of only ~0.005 defects/cm2. This advance was due primarily to a compositional ...Missing: cm² | Show results with:cm²<|control11|><|separator|>
  50. [50]
    Photomask and Next-Generation Lithography Mask Technology XIII
    ... nm photomask accuracy and productivity requirements · Henrik Sjöberg, Tord ... In all we varied the material COG, Mosi193, Mosi248, Chrome thickness 700A and ...
  51. [51]
    [PDF] Electron multibeam technology for mask and wafer writing at 0.1 nm ...
    Aug 2, 2013 · mask patterns on a 0.1 nm address grid. Using an insensitive pCAR positive resist, the resolution capability and throughput potential is ...
  52. [52]
    Inside Photomask Writing - Semiconductor Engineering
    Jan 19, 2017 · NuFlare sells single-beam e-beam tools for use in patterning or writing the tiny features on a photomask. These mask writer systems are based on ...
  53. [53]
    Method for photomask plasma etching using a protected mask
    A method for etching chromium and forming a photomask is provided. In one embodiment, a method for etching chromium includes providing a film stack in a ...
  54. [54]
    CD-SEM: Critical-Dimension Scanning Electron Microscope
    CD-SEM, or critical-dimension scanning electron microscope, is a tool for measuring feature dimensions on a photomask.Missing: tolerance | Show results with:tolerance
  55. [55]
    Semiconductor IC Photomask Market Outlook 2025-2032
    Rating 4.4 (1,871) Jun 25, 2025 · Photomasks are critical tools used in the photolithography process during semiconductor fabrication, enabling the precise transfer of circuit ...<|separator|>
  56. [56]
    Deep UV Photolithography - Newport
    DUV technology for photolithography is exclusively based on projection optics since the pattern on the photomask is much larger than the final pattern ...
  57. [57]
    Light & lasers - Lithography principles - ASML
    EUV lithography, a technology entirely unique to ASML, uses light with a wavelength of 13.5 nanometers. This wavelength is more than 14 times shorter than DUV ...
  58. [58]
    [PDF] Analysis and Modeling of Photomask Near-Fields in Sub ...
    4X reduction ratio. As indicated by equation (2.15), only those field components tangential to the mask surface intervene in the expression for the field ...
  59. [59]
    EUV mask vs DUV mask: Materials, challenges, and inspection ...
    Jul 28, 2025 · This article delves into the differences between EUV masks and DUV masks, highlighting the key aspects that distinguish these two technologies.<|separator|>
  60. [60]
    EUV mask process development and integration - SPIE Digital Library
    In this paper we will present the EUV mask process with the integrated solution and the results of the mask patterning process, Ta-based in-house absorber film ...
  61. [61]
    Mechanics & mechatronics - Lithography principles - ASML
    Processing over 275 wafers per hour – using various reticles – means the handlers must pick up, move and place their delicate loads quickly and precisely ...Missing: throughput | Show results with:throughput
  62. [62]
    Fundamentals of EUVL Scanners - SPIE Digital Library
    For device mass production, an EUV scanner is expected to have a high wafer throughput of 100 wafers per hour (WPH). To achieve the high throughput, wafers.
  63. [63]
    The Rayleigh criterion for resolution - ASML
    The Rayleigh criterion determines the smallest feature size (CD) using the equation CD = k1 • λ / NA, where λ is light wavelength and NA is numerical aperture. ...
  64. [64]
    Lithography k1 coefficient - Semiconductor Engineering
    The k1 coefficient is related to the difficulty of lithography and encapsulates process-related factors. It is used in the formula MFS = k1 x lambda / NA.Missing: equation | Show results with:equation
  65. [65]
    How Overlay Keeps Pace With EUV Patterning
    Aug 9, 2022 · New overlay targets, machine learning, and improved optical overlay systems help speed necessary checks to ensure yield at 5nm and 3nm nodes.Missing: fiducials | Show results with:fiducials
  66. [66]
    High-NA EUV lithography: the next step after EUVL - IMEC
    Oct 4, 2021 · A high-NA EUV lithography scanner is projected to print the most critical features of 2nm (and beyond) logic chips in a smaller number of patterning steps.
  67. [67]
    Resolution enhancement for high-numerical aperture extreme ...
    Oct 8, 2024 · In 2022, Joern-Holger Franke et al. proposed a split pupil exposure as a novel approach to compensate for image blur due to 3D mask effects in ...
  68. [68]
    High-NA 0.55 EUV Imaging: Resist Requirements, DOF, And Mask ...
    Aug 22, 2025 · These effects include shadowing, phase shifts, and diffraction anomalies that can distort the intended pattern transfer.
  69. [69]
    None
    ### Summary of MEEF in Theory and Practice
  70. [70]
    Impact of resist blur on MEF, OPC and CD control - SPIE Digital Library
    This paper will consider the basic concepts of resist blur in a chemically amplified resist process, and the implications of this blur to lithography.
  71. [71]
    AIMS and resist simulation - SPIE Digital Library
    Oct 17, 2008 · The AIMSTM measures the aerial image in resist whereas in a real lithography process further image blur of the latent image is caused by photo ...
  72. [72]
    Stochastic-aware compact OPC model validation for reducing failure ...
    Jul 21, 2025 · These results confirm the feasibility and effectiveness of integrating compact stochastic modeling into OPC flows, thereby enhancing robustness ...
  73. [73]
    Explanation of pellicles - Principles of Lithography, Second Edition
    Pellicles are thin (~1 μm) polymer films stretched across a frame that is attached to the mask (Fig. 7.16). Typical frame heights are 5–10 mm, with 6.35 mm a ...Missing: mounted | Show results with:mounted
  74. [74]
    Pellicle - Semiconductor Engineering
    A pellicle is a thin, transparent membrane that covers a photomask during the production flow. The pellicle is a dust cover, as it prevents particles and ...<|separator|>
  75. [75]
    Fastmicro launches the FM-PDS Particle Defect Inspection System
    Dec 18, 2023 · The FM-PDS distinct technology platform is capable of a Lower Detection Limit of 100 nm (0.1 µm) of standard-sized particles and up on various ...
  76. [76]
    [PDF] Teflon® AF Amorphous Fluoropolymers - PAUL R. RESNICK
    They also showed that a. Teflon® AF pellicle, which had been optimized for use at 248 nm, could withstand irradiation at 193 nm for the equivalent of 10 years ...
  77. [77]
    [PDF] MLI, the Company - Micro Lithography, Inc.
    MLI's ArF-193nm Pellicle (Film 703) is the ONLY. Pellicle available in the industry that offers minimum 99% on multiple wavelengths 193nm,. 248nm and 365nm. 6.
  78. [78]
    Indistinguishable from magic: the EUV pellicle
    ### Summary of EUV Pellicle Development
  79. [79]
    EUV's Future Looks Even Brighter - Semiconductor Engineering
    Feb 20, 2025 · Since the first commercial EUV chips rolled off the line in 2019, steady improvements in equipment, mask generation, and resist technologies ...
  80. [80]
    Development status and future prospects of CNT pellicle
    Jul 21, 2025 · We have faced many challenges such as particles, haze, and outgassing in the course of production, and has gained a lot of experience through ...
  81. [81]
    Relative lifetime estimation of EUV pellicle by normalized thermal ...
    Nov 12, 2024 · We proposed a criterion for comparing pellicle lifetimes using a fatigue curve. By modeling the EUV exposure environment, we calculated the thermal stress on ...
  82. [82]
    Imaging characteristics of reflective EUV masks - SPIE Digital Library
    Apr 22, 2025 · The purpose of this paper is to examine image characteristics of EUV reflective masks, some of which are very different than those of the transmissive masks ...
  83. [83]
    Influence of MEEF change on the mask shadowing effect in extreme ...
    The influence of mask error enhancement factor (MEEF) on the mask shadowing effect was investigated for extreme ultraviolet lithography.
  84. [84]
    [PDF] Ion Beam Technology for EUV Photomask
    Advantages of Ion Beam Deposition for EUV Masks. 6. Feature. Benefits. Performance. LOW DEPOSITION RATE. Less decoration of defects already present on substrate.Missing: roughness e- writing buffer
  85. [85]
    Mo/Si multilayers for EUV lithography by ion beam sputter deposition
    Feb 28, 2025 · ... root mean square (rms) roughness values Rq<0.2 nm. Especially, ion beam direct smoothing in combination with smoothing using planarization ...
  86. [86]
    Next EUV Issue: Mask 3D Effects - Semiconductor Engineering
    Apr 25, 2018 · “We face 3D mask effects in EUV that are very similar to DUV. The diffraction at the photomask causes phase effects, which lead to very similar ...
  87. [87]
    [PDF] High NA EUV Mask Blank Development with Smart Factory (I4.0 ...
    High NA EUV masks with thinner mask absorbers are needed to reduce the 3D shadowing effect and improve image contrast. • New absorber materials pose technical ...
  88. [88]
    EUV Photomasks Market Demand Forecast 2025–2033: Trends
    Sep 14, 2025 · EUV Photomasks Market Revenue was valued at USD 1.25 Billion in 2024 and is estimated to reach USD 3.5 Billion by 2033, growing at a CAGR of ...
  89. [89]
    [PDF] Fast Simulation Methods for Non-Planar Phase and Multilayer ...
    Dec 19, 2005 · All photomasks contain defects, but not all defects cause defective products. ... types of phase defects. For an ideal 180° phase defect ...
  90. [90]
    Photomask Inspection - Semiconductor / Alfa Chemistry
    Hard Defects​​ A hard defect is any flaw affecting the photomask mask, other than contamination. One class of defects includes the presence of metallized coating ...Missing: types phase
  91. [91]
    Reticle Manufacturing | KLA
    An error-free reticle (also known as a photomask or mask) represents a critical element in achieving high semiconductor device yields, since reticle defects or ...<|control11|><|separator|>
  92. [92]
    What is a mask defect and how does it affect semiconductor yield?
    Jul 28, 2025 · Mask defects present a significant challenge in semiconductor manufacturing, directly impacting yield and the overall efficiency of the ...
  93. [93]
    Photomask Repair Technology by using Gas Field Ion Source
    Aug 9, 2025 · We have developed a new focused ion beam (FIB) technology using a gas field ion source (GFIS) for mask repair. Meanwhile, since current high-end ...
  94. [94]
    Focused ion beam induced Ga-contamination—An obstacle for UV ...
    Jul 10, 2013 · For optical photomask repair, focused ion beam (FIB) milling and deposition is an established repair process.7,8 Also for NIL stamps the FIB ...<|separator|>
  95. [95]
    Efficient defect repair methodology for N2 EUV masks by using a ...
    Jul 21, 2025 · We demonstrate that soft defects can be effectively ablated without inflicting damage on the EUV mask substrate, as confirmed by Actinic ...
  96. [96]
    Femtosecond laser mask advanced repair system in manufacturing
    To date, two major commercially available approaches have been employed to repair defects on photomasks. Fo- cused ion beams represent one approach in which ...
  97. [97]
    Pushing the limits of EUV mask repair: addressing sub-10 nm ...
    Sep 7, 2021 · We present several high-end repairs on EUV masks including a sub-10-nm extrusion achieved with the latest generation of e-beam-based mask repair tools.
  98. [98]
    [PDF] Toward defect-free fabrication of extreme ultraviolet photomasks
    Apr 12, 2016 · The experimental results of native defect repair via nanomachining showed consistent improvement in print- ability for all repaired sites as ...
  99. [99]
    [PDF] Pushing the limits of EUV mask repair: addressing sub-10 nm ... - Zeiss
    Sep 7, 2021 · Abstract. Mask repair is an essential step in the manufacturing process of extreme ultraviolet. (EUV) masks.
  100. [100]
    [PDF] Progress in extreme ultraviolet mask repair using a focused ion beam
    Operating FIB at low accelerating voltage inevitably de- grades the spatial resolution. A smaller beam spot can be obtained by using lower beam current.<|separator|>
  101. [101]
    Improving extreme UV lithography mask repair
    ### Success Rates for EUV Mask Repair
  102. [102]
    Mask Qualification Solutions by ZEISS SMT
    ZEISS AIMS 1x-193i is used for defect review, printability analysis and repair verification and qualifies photomasks with high precision and accuracy for today ...Missing: MEEF | Show results with:MEEF
  103. [103]
    Electron-beam-based photomask repair - AIP Publishing
    Dec 10, 2004 · This effect leads to image drift and resolution degradation and is a common issue in SEM-based inspection and processing of photomasks.
  104. [104]
    Photomask Repair System Market Demand Trends: Importance and ...
    May 23, 2025 · Growing photomask costs: High cost of photomask production (up to $1 million per mask set) incentivizes repair systems to reduce replacement ...
  105. [105]
    Mask Complexity, Cost, And Change - Semiconductor Engineering
    May 22, 2025 · The two big factors are transmission rate and durability. With EUV, the masks are reflective. The light has to go through the pellicle, hit the ...<|separator|>
  106. [106]
    Photomask Market Size, Share & Growth Forecast to 2033
    The global photomask market size was valued at USD 5.1 Billion in 2024 and is projected to reach USD 7.0 Billion, CAGR of 3.50% during 2025-2033.
  107. [107]
    Photronics Extends Capability with the First Installation of a ...
    Aug 8, 2025 · This multibeam system is also capable to write the finest resolution EUV and nanoimprint masks allowing Photronics to directly support the most ...Missing: capacity | Show results with:capacity
  108. [108]
    Photomasks for Semiconductors|PRODUCTS
    Photomasks play an important role in the semiconductor manufacturing process and are the master plates used to transfer circuits onto semiconductor wafers.Missing: engineering | Show results with:engineering
  109. [109]
    DNP Achieves Fine Pattern Resolution on EUV Lithography ...
    Dec 12, 2024 · DNP has now achieved the fine pattern resolution required for EUV lithography photomasks for logic semiconductors of the beyond 2nm generation.Missing: 7nm- | Show results with:7nm-
  110. [110]
    Information Technology - HOYA Corporation
    Mask blanks and photomasks are essential in the production of semiconductor chips. They are the master plates used to transfer the minute, highly complex ...
  111. [111]
    EUV Mask Blanks | AGC Electronics America
    Jul 29, 2024 · EUVL mask blanks are a low-thermal expansion glass substrate with various optical coating films on its surface.
  112. [112]
    Photomask Market Size, Outlook and Analysis 2035
    The Photomask industry is projected to grow from 4.471 USD Billion in 2025 to 6.333 USD Billion by 2035, exhibiting a compound annual growth rate (CAGR) of 3.54 ...
  113. [113]
    Photomask Market Size to Surpass USD 7.22 Billion by 2032,
    Aug 18, 2025 · Forecast to achieve a 4.45% CAGR between 2025 and 2032, this growth is driven by the adoption of advanced nodes and the ongoing miniaturization ...
  114. [114]
    Euv Photomasks Market Growth and Analysis 2035 - WiseGuy Reports
    Sep 10, 2025 · The Global EUV Photomasks Market is projected to grow at a CAGR of 11.8% from 2025 to 2035, driven by increasing demand for advanced lithography ...<|separator|>
  115. [115]
    Photomask Market Size, Share And Trends Report, 2030
    The global photomask market size was estimated at USD 5.11 billion in 2023 and is estimated to grow at a CAGR of 4.7% from 2024 to 2030.Missing: yield | Show results with:yield
  116. [116]
    Photomask Market Size, Outlook, Trends & Global Report 2030
    Jul 6, 2025 · The Photomask Market is expected to reach USD 6.08 billion in 2025 and grow at a CAGR of 4.54% to reach USD 7.59 billion by 2030.
  117. [117]
    Photronics and the Strategic Value of U.S.-Based Advanced ...
    Aug 9, 2025 · On August 8, 2025, Photronics announced the deployment of its first production multi-beam mask writer in Boise, Idaho. This system, equipped ...Missing: capacity | Show results with:capacity
  118. [118]
    Chip Manufacturing Costs in 2025-2030: How Much Does It Cost to ...
    Oct 26, 2025 · Cost of Each EUV Mask: ~$500,000–$1 Million​​ Unlike traditional photomasks, EUV masks must meet extreme precision standards because even ...
  119. [119]
    eBeam Initiative Survey Reports EUV Fueling Photomask Industry ...
    70 percent predict that EUV mask turnaround time in 2024 will remain longer than leading-edge 193-nm immersion (193i) mask turnaround times today; More than ...<|separator|>
  120. [120]
    The Future of Photomask Technology and AI-Driven Inspection
    Multi-Step Optimization. AI systems can co-optimize related processes that traditional APC treats independently, leading to improved yield. Virtual Metrology ...
  121. [121]
    Silicon Stencil Mask Market Overview and Investor Guide 2025
    May 21, 2025 · Eco-Sustainable Mask Materials: Companies are innovating with recyclable and low-emission mask substrates to meet ESG standards. Hybrid Mask ...
  122. [122]
    ASML's High-NA EUV Tools: Powering the Sub-2nm Era and ...
    Sep 30, 2025 · Its plans for commercial High-NA EUV implementation by 2027 for its 1.4nm foundry process, coupled with early positive results in cycle time ...Missing: photomasks | Show results with:photomasks
  123. [123]
    Nanoimprint Finally Finds Its Footing - Semiconductor Engineering
    Apr 20, 2023 · Nanoimprint lithography (NIL) has consistently been touted as a lower-cost alternative to traditional optical lithography.
  124. [124]
    Nanoimprint Lithography Disruption-Canon vs. ASML rivalry unfolds
    Nov 10, 2023 · Nanoimprint lithography in semiconductor production poses disruption threat to ASML's EUV machine due to very high cost advantage.