Fact-checked by Grok 2 weeks ago

Deep reactive-ion etching

Deep reactive-ion etching (DRIE) is a highly anisotropic plasma-based dry etching process that enables the fabrication of deep, steep-sided trenches and holes in substrates such as silicon, typically achieving high aspect ratios exceeding 50:1 through alternating cycles of chemical etching and sidewall passivation. Developed in the mid-1990s by Franz Laermer and Andrea Urban at Robert Bosch GmbH, DRIE revolutionized microfabrication by allowing precise control over etch depth and profile, far surpassing traditional wet etching methods in speed and anisotropy. The technique primarily employs the Bosch process, a time-multiplexed method that cycles between sulfur hexafluoride (SF₆) plasma for isotropic fluorine-based etching of the substrate and perfluorocyclobutane (C₄F₈) plasma for depositing a protective fluorocarbon polymer layer on sidewalls, preventing lateral etching and enabling vertical profiles with etch rates often exceeding 10 µm/min. An alternative cryogenic DRIE variant operates at low temperatures (around -110°C) using SF₆/O₂ mixtures to form a thin silicon oxyfluoride passivation layer, which yields smoother sidewalls with minimal scalloping compared to the Bosch process, though it requires precise temperature control to avoid frost formation. Key advantages of DRIE include exceptional etch selectivity to masks like or (often >100:1), minimal undercut, and compatibility with high-density sources such as () systems, which independently control ion energy and density for optimized performance. These features make DRIE indispensable for fabrication, including accelerometers, gyroscopes, and inertial sensors, as well as , (e.g., waveguides), advanced packaging (e.g., through- vias), and biomedical devices like microneedles. Despite its strengths, challenges such as sidewall roughness from the cyclic (known as scalloping) and micromasking from polymer residues require optimization, often through parameter tuning like gas flow rates, chamber pressure, and cycle times. Overall, DRIE remains a cornerstone of nanoscale , underpinning of sensors and enabling complex 3D microstructures essential for modern electronics and sensing technologies.

Introduction

Definition and Fundamentals

Deep reactive-ion etching (DRIE) is a specialized subclass of (RIE) that employs high-density to achieve highly anisotropic etching, enabling the creation of deep, high-aspect-ratio structures in materials such as with aspect ratios exceeding 10:1. This technique combines chemical reactions from reactive species with physical bombardment by accelerated ions, resulting in vertical sidewalls and precise control over feature profiles that are essential for advanced . The primary purpose of DRIE is to fabricate steep-sided trenches and holes with depths reaching up to 600 μm and widths as narrow as a few microns, which is critical for producing complex microstructures in applications requiring high precision and structural integrity. Unlike conventional etching methods limited to shallower features, DRIE supports the development of intricate three-dimensional geometries that enhance device performance in fields like microelectromechanical systems (MEMS). DRIE relies on principles, which differ from wet etching by providing directional control to minimize undercutting; wet etching is typically isotropic, leading to uniform material removal in all directions and rounded profiles, whereas dry methods like DRIE achieve through ion-assisted processes. In DRIE, generated in a reactive chamber produces reactive radicals for chemical and positively charged s that are accelerated toward the to enhance directionality, often using such as SF₆ for removal by forming volatile SiF₄ byproducts. Key performance parameters include etch rates up to 20 μm/min, high selectivity to masking materials like or metal (often exceeding 100:1), and dependence, where etch rates decrease as feature depth increases relative to width due to reduced at the trench bottom.

Historical Development

Deep reactive-ion etching (DRIE) emerged in the early at Robert Bosch GmbH, driven by the limitations of standard (RIE) in producing high-aspect-ratio structures essential for (MEMS). Researchers sought to address isotropic etching challenges in silicon micromachining, enabling deeper and more precise features beyond what wet etching or conventional processes could achieve. A pivotal advancement came with the 1992 German (DE 4241045) by Franz Laermer and Andrea Schilp, which described the time-multiplexed process involving alternating and passivation cycles to achieve high . This innovation, refined over subsequent years, facilitated the first commercial production of sensors at starting in 1995, marking the transition from research to industrial application in the late . Concurrently, cryogenic DRIE developed as a parallel approach, with early demonstrations of low-temperature anisotropic using SF₆ reported by Tachi et al. in 1988, offering an alternative method for sidewall passivation through formation of a thin silicon oxyfluoride layer. Following initial adoption, post-2000 refinements emphasized higher etch rates and reduced sidewall scalloping, achieved through optimized cycle parameters and chemistry adjustments that improved uniformity and minimized effects. Expansion to materials beyond occurred in the early 2000s, notably with Glenn Research Center's development of a DRIE process for (SiC) using SF₆-based etchants, enabling high-aspect-ratio trenches over 100 μm deep in 6H-SiC. These developments have fundamentally shaped technology by enabling complex, high-aspect-ratio geometries, resulting in over 2,000 research publications and broad industrial integration by the .

Principles of Operation

Plasma Generation and Ion Dynamics

In deep reactive-ion etching (DRIE), is generated using () reactors, where radiofrequency (RF) power at 13.56 MHz is applied to a surrounding the chamber to ionize gases such as (SF₆). This efficiently creates a high-density consisting of a of positively charged (e.g., F⁺ and SFₓ⁺), reactive radicals (e.g., F•), and free , with electron densities typically reaching 10¹¹–10¹² cm⁻³ at low pressures (1–50 mTorr). The high density in systems allows for independent control of generation via source power and ion acceleration via separate bias power, enabling optimized conditions without compromising uniformity. Ion dynamics in DRIE are governed by the plasma sheath formed near the , where a self-induced (DC) bias voltage (typically tens to hundreds of volts) accelerates toward the surface in a to the lines. This results in directional , with ion energies ranging from 10 to 100 eV, sufficient for enhancing rates and physical at the bottom of etched features while minimizing lateral etching. The ion flux (Γ) to the is approximated by the Bohm flux expression: \Gamma \approx n_i v_B where n_i is the ion density at the sheath edge and v_B = \sqrt{k T_e / m_i} is the Bohm velocity, with k as Boltzmann's constant, T_e as the electron temperature, and m_i as the ion mass. This flux depends critically on plasma parameters, underscoring the importance of high-density sources like ICP for achieving high etch rates in DRIE. The directional nature of ion trajectories contributes to the overall anisotropy observed in etched structures.

Mechanisms of Anisotropy

Deep reactive-ion (DRIE) achieves high through the synergistic combination of chemical reactions and physical ion bombardment, enabling vertical etching profiles with minimal lateral undercutting. The chemical component involves isotropic reactions primarily driven by reactive radicals, such as fluorine atoms (F•), which react with exposed surfaces to form volatile byproducts like (SiF₄) via the process F• + → SiF₄. This reaction occurs uniformly on all accessible surfaces but is inherently non-directional, potentially leading to isotropic etching if not modified. The physical component introduces directionality through anisotropic ion bombardment, where positively charged s are accelerated perpendicular to the surface under the influence of an in the . This vertical ion flux enhances etching at the trench bottom by material and activating surface sites for chemical reactions, while ions incident on sidewalls at grazing angles (near 90°) have reduced effectiveness due to lower momentum transfer. Passivation layers, typically fluorocarbon polymers deposited from precursor gases like C₄F₈, coat the sidewalls to inhibit lateral chemical , further promoting by acting as a temporary barrier that is selectively removed at the bottom by ion . Anisotropy is precisely controlled by the directional nature of the ion flux, which follows a cosine distribution (cos θ dependence, where θ is the incidence angle relative to the surface normal), ensuring higher flux and energy delivery to horizontal surfaces compared to vertical ones. In high-aspect-ratio features, effects like aspect ratio dependent etching (ARDE) and etch lag emerge, where deeper or narrower trenches etch more slowly due to reduced radical and ion transport into confined spaces, limiting the overall depth uniformity. The key quantitative concept underlying this ion-enhanced process is the etch rate model given by R = R_\text{ch} + \Gamma \cdot Y(\theta), where R is the total etch rate, R_\text{ch} is the spontaneous chemical etch rate, \Gamma is the ion flux, and Y(\theta) is the angle-dependent sputtering yield, which peaks for normal incidence (θ = 0°) and drops sharply for oblique angles. Compared to standard reactive-ion etching (RIE), DRIE employs higher plasma densities (e.g., via inductively coupled plasma sources) to generate elevated ion fluxes (Γ > 10¹¹ cm⁻² s⁻¹), enabling deeper penetration and sustained anisotropy without significant profile widening, thus supporting aspect ratios exceeding 50:1 in silicon.

Etching Processes

Bosch Process

The Bosch process, also known as time-multiplexed deep reactive-ion etching, is the predominant technique for achieving high-aspect-ratio etching in DRIE, patented in 1992 by inventors Franz Laermer and Andrea Schilp at . This method alternates between isotropic and anisotropic passivation steps to enable deep, vertical trenches while minimizing lateral etching. The process operates at near-room temperature and relies on (ICP) sources for high-density plasma generation, typically yielding etch depths exceeding 500 μm with aspect ratios greater than 20:1. In each cycle, the etching step employs SF₆ plasma to remove silicon primarily at the trench bottom through chemical reaction with fluorine radicals and physical sputtering by accelerated ions, lasting 3-10 seconds and achieving 1-5 μm of vertical etch per cycle. This is followed by a passivation step using C₄F₈ plasma, which deposits a thin fluorocarbon polymer layer (typically 10-50 nm thick) on the sidewalls and bottom to protect against further isotropic etching, lasting 2-5 seconds. Cycles are repeated 100-1000 times to reach target depths, such as 0.5 mm, with the polymer partially removed from the bottom during the subsequent etch to sustain progress. Key operational parameters include ICP source power of 500-1500 W for plasma density, substrate bias voltage of 50-200 V for ion acceleration, and chamber pressure of 10-50 mTorr to balance etch rate and anisotropy. The process exhibits high mask selectivity, often exceeding 50:1 relative to photoresist and over 100:1 to oxide masks, enabling robust patterning for complex structures. A distinctive artifact of the cyclic nature is sidewall scalloping, manifesting as periodic undulations with amplitudes of 100-500 , which can affect optical and properties of etched features. Scalloping arises from the alternating exposure of sidewalls to partial etching and deposition, but it can be minimized through optimized timings—such as shortening the passivation step—or by incorporating continuous gas flow modifications to reduce buildup variations. Variations of the process include pulsed modes, where gas flows or powers are modulated within steps for finer control, and pseudo-Bosch or RIE implementations that blend etching and passivation gases continuously to suppress scalloping and achieve smoother profiles, though at potentially lower etch rates. Unlike the cryogenic DRIE process, which avoids cycles for inherently smoother sidewalls, the method prioritizes higher throughput and versatility at .

Cryogenic Process

The cryogenic process represents a continuous variant of deep reactive-ion (DRIE) that operates at low substrate temperatures, typically in the range of -110°C to -120°C (approximately 163 K), to achieve high-aspect-ratio structures. This method employs an inductively coupled SF₆/O₂ , where the cold conditions freeze reactive radicals on the surface, minimizing isotropic chemical and promoting directional ion-assisted removal primarily at the bottom. Unlike cyclic approaches, it maintains a steady-state balance between and passivation in a single step, resulting in exceptionally smooth sidewalls with reduced . The core mechanism relies on temperature-dependent of passivation byproducts, such as silicon oxyfluoride (SiOₓFᵧ) polymers formed from O₂ addition, which deposit as a thin, non-volatile layer on vertical sidewalls to inhibit lateral . bombardment, enhanced by the 's directionality under cryogenic conditions, selectively removes this layer at the bottom surface, enabling near-vertical profiles. Optimal performance occurs around -120°C, where lower temperatures reduce the required O₂ fraction for passivation—typically 5-20% of the SF₆ flow—while backside cooling via the chuck ensures efficient heat dissipation and temperature stability during the process. Etch rates generally range from 1 to 10 μm/min, depending on power (e.g., 2500 W ) and load, with demonstrated aspect ratios exceeding 50:1 for trenches deeper than 100 μm. Despite its advantages in sidewall quality, the cryogenic process presents operational challenges, including mask cracking induced by between the cooled and masking materials like or oxide, which can compromise pattern fidelity. Frost formation from residual chamber moisture or byproducts may also contaminate surfaces, necessitating rigorous and protocols. Furthermore, the technique is largely confined to etching due to the specific volatility of byproducts at low temperatures, limiting its applicability to other materials compared to room-temperature methods. This process was first introduced in 1988 by Tachi et al., building on earlier cryogenic concepts, and gained practical use in the as an alternative to the newly developed method, particularly valued for producing smoother etches in precision microstructures.

Applications

Microelectromechanical Systems (MEMS)

Deep reactive-ion etching (DRIE) plays a pivotal role in microelectromechanical systems () fabrication by enabling the creation of high-aspect-ratio features in wafers, such as those required for accelerometers, gyroscopes, and microfluidic channels. These structures demand precise vertical etching to achieve depths of 50-300 μm while maintaining narrow widths, typically 2-10 μm, resulting in aspect ratios of 20-50 that enhance sensitivity and performance in inertial sensing applications. For instance, DRIE facilitates the of comb-drive fingers and proof masses in accelerometers and gyroscopes, where high aspect ratios minimize damping and improve signal-to-noise ratios. In , DRIE is essential for fabricating specific structures like suspended beams, thin membranes, and through-wafer vias, often reaching depths up to 500 μm to support movable components. The process supports release etching, where sacrificial layers or bulk is removed anisotropically to free suspended elements without undercutting, enabling reliable operation of dynamic devices such as vibrating beams in resonators or flexible membranes in sensors. Representative examples include inkjet printer nozzles with aspect ratios exceeding 20, allowing precise fluid ejection through 10 μm diameter channels over 200 μm depths, and pressure sensors where DRIE etches sealed cavities beneath 2-5 μm thick membranes to detect deflections under applied pressure. DRIE integrates seamlessly with for pattern definition and for 3D assembly, producing multilayer devices with complex geometries, such as stacked inertial sensors or microfluidic networks. Typical etch depths of 50-300 μm in these workflows ensure structural integrity while allowing for the high-aspect-ratio vias that interconnect levels in bonded stacks. The process, a widely adopted DRIE variant, exemplifies this by alternating and passivation cycles to achieve sidewall angles near 90° for such integrations. Since the , DRIE has revolutionized by enabling intricate, three-dimensional architectures that were infeasible with earlier isotropic wet methods, thereby expanding applications in , automotive systems, and biomedical devices.

Semiconductor Devices

Deep reactive-ion etching (DRIE) plays a pivotal role in manufacturing by enabling the fabrication of through- vias (TSVs) for (3D IC) stacking, where vertical interconnects pass through the substrate to connect stacked dies. These TSVs typically feature diameters of 5–50 μm and depths of 100–400 μm, achieving high aspect ratios (AR) greater than 10 to support dense integration while minimizing footprint. The process variant of DRIE, utilizing alternating SF₆ etching and C₄F₈ passivation cycles, ensures nearly vertical sidewalls essential for reliable electrical connections in multi-layer stacks, with etch rates reaching 5–12 μm/min under optimized conditions such as 40–50 Pa pressure and cryogenic or setups. This capability addresses the limitations of traditional 2D interconnects by shortening signal paths, thereby enhancing bandwidth and reducing latency in applications. In addition to TSVs, DRIE is employed to create deep trenches for () capacitors, typically 10–20 μm deep, which increase density in memory cells without expanding lateral area. The process offers high selectivity to dielectric masks, often exceeding 200:1 relative to (SiO₂), allowing precise etching of while preserving overlying layers critical for device isolation. This selectivity, combined with sidewall passivation, prevents undercutting and ensures uniform profiles even at high densities. DRIE integrates seamlessly with complementary metal-oxide-semiconductor () fabrication flows for advanced nodes, where it is performed post-front-end-of-line to avoid thermal budget conflicts, enabling monolithic stacking of logic and memory in heterogeneous systems. Representative examples include high-density isolation trenches, where DRIE forms deep trench (DTI) structures several micrometers deep to electrically separate active regions in scaled and arrays, mitigating and . In power devices, DRIE etches trenches for metal-oxide-semiconductor field-effect transistors (MOSFETs), creating gate structures that improve on-resistance (R_DS(on)) and switching speed by increasing channel density. Advancements in DRIE have optimized production etch rates above 10 μm/min, facilitating (WLP) and heterogeneous integration by allowing via-last or via-middle processes that bond disparate technologies like with high-bandwidth (HBM). These developments are critical for 5 nm and beyond nodes, where TSV-enabled stacking reduces interconnect delays by up to 50% compared to 2D wiring, supporting and AI accelerators.

Precision Engineering

Deep reactive-ion etching (DRIE) plays a pivotal role in fabricating microneedles for systems, enabling the creation of high-aspect-ratio structures with tapered profiles that penetrate the skin without causing significant trauma. A modified process using DRIE allows for the production of microneedles with heights up to 500 μm and base diameters of 100 μm, facilitating precise release through or solid designs. These microneedles are integrated into patches for controlled delivery of therapeutics like insulin or , offering a painless alternative to hypodermic needles. In watchmaking, DRIE is employed to etch hairsprings, such as Rolex's Syloxi component introduced in 2014, achieving depths of 100-200 μm with aspect ratios approaching 30:1 for the spiral features. This in-house batch fabrication process on wafers ensures high and uniformity, enhancing stability and resistance to in luxury timepieces. The technique supports scalable production for high-end consumer devices, where sub-micron surface finishes minimize and improve longevity. DRIE also enables the creation of optical gratings and molds for replication in and flexible substrates. High-aspect-ratio gratings with periods below 1 μm are fabricated via optimized DRIE recipes, supporting applications in diffractive where vertical sidewalls exceeding 20:1 ratios are essential for light manipulation. Adapted DRIE processes extend to and , using chemistries to etch channels or features in borosilicate for replication molds, allowing of flexible electronics substrates with sub-micron precision. The cryogenic variant of DRIE provides smoother sidewalls for optical components, reducing losses in gratings. Emerging uses of DRIE in include biomedical implants and lab-on-chip devices featuring complex channels. Silicon molds etched via DRIE replicate structures for implants with intricate geometries, such as porous scaffolds for tissue integration, while microfluidic channels in lab-on-chip platforms enable precise fluid handling for diagnostics. These advancements leverage DRIE's ability to produce high-fidelity, batch-fabricated components with depths over 100 μm, advancing non-electronic precision manufacturing in healthcare.

Advantages and Limitations

Key Advantages

Deep reactive-ion etching (DRIE) offers exceptional , enabling the creation of vertical sidewalls and high structures exceeding 100:1, which cannot be achieved with wet etching or conventional methods due to their inherent or limited directionality. This capability allows for steep-sided trenches and holes with minimal lateral etching, preserving feature integrity in complex geometries. DRIE demonstrates versatility across materials, including , (SiC), and , while providing high etch selectivity greater than 100:1 relative to masking materials such as or layers. This selectivity minimizes mask erosion, reducing the need for additional protective layers and simplifying fabrication workflows. The process supports scalable of entire wafers, facilitating cost-effective high-volume production with etch depths reaching up to 1 mm. Such depths are essential for advanced structures, and the wafer-scale approach leverages parallel to lower per-unit costs in . DRIE provides sub-micron in feature control, allowing accurate definition of microstructures with sidewall angles near 90 degrees and uniform profiles across large areas. This level of control is vital for , ensuring consistent dimensions and surface quality in intricate designs. Compared to anisotropic wet etching with KOH, which achieves rates around 1 μm/min with variable uniformity depending on crystal orientation, DRIE delivers significantly faster etching at up to 20 μm/min while maintaining superior profile uniformity and directionality. The Bosch process, a common DRIE variant, enhances this through cycle-based modulation for optimized rate and anisotropy.

Challenges and Limitations

One prominent artifact in the process variant of deep reactive-ion etching (DRIE) is scalloping, where sidewalls develop periodic ripples with amplitudes typically ranging from 100 to 500 nm due to the alternating isotropic etching and passivation cycles. Microtrenching also occurs, resulting from at the bottoms of high-aspect-ratio features, which accelerates lateral etching and undermines profile control. Operational challenges include the high cost of equipment, with reactors for DRIE often exceeding $1 million due to the need for advanced vacuum systems and precise control hardware. The process is highly sensitive to parameters such as bias power, gas flow rates, and cycle times, where deviations can induce defects like —lateral etching at the base of features caused by charge buildup on underlying insulators, deflecting ions and leading to undercutting. DRIE is primarily optimized for silicon etching, limiting its direct applicability to other materials without significant process adjustments. In the cryogenic variant, challenges arise from thermal stress due to rapid cooling of substrates to temperatures below -100°C, potentially causing mask cracking or wafer bowing, while frost formation from condensed etch by-products can contaminate surfaces and reduce uniformity. Etch lag, or aspect ratio dependent etching (ARDE), further constrains high-aspect-ratio features, where the etch rate decreases due to diffusion-limited transport of reactants into deep trenches, often resulting in lags exceeding 10% for standard Bosch processes. The use of toxic fluorinated gases like SF₆ and C₄F₈ in DRIE necessitates robust abatement systems to neutralize hazardous byproducts and prevent environmental release, as these compounds are potent gases with high . Mitigations include advanced pulsing schemes, such as pulsed biasing to reduce charge accumulation and suppress , and mixed processes combining cycles with continuous etching for smoother sidewalls. Ongoing research explores hybrids with atomic layer etching to minimize artifacts like scalloping and etch lag, achieving roughness below 7 nm in optimized setups. As of 2024, advances include single-step DRIE processes for through-via applications that eliminate cyclic scalloping and improved high-aspect-ratio etching of (>20:1).

References

  1. [1]
    Deep Reactive Ion Etching - an overview | ScienceDirect Topics
    Deep reactive ion etching (DRIE) is a highly anisotropic dry-etching process using a two-step cycle of plasma etching and passivation to create deep cavities.
  2. [2]
    For the invention of the deep reactive ion etching process (Bosch ...
    This year's two prizewinners, Andrea Urban and Franz Laermer, created a significant basis for this by inventing deep reactive ion etching already in the 1990s.Missing: Schuegraf paper
  3. [3]
    Deep Reactive Ion Etching (DRIE) - Oxford Instruments
    A highly anisotropic etch process used to create deep, steep-sided holes and trenches in wafers/substrates, typically with high aspect ratios.
  4. [4]
    Dry Etching - an overview | ScienceDirect Topics
    Deep reactive ion etching (DRIE also known as Bosch process) is an advanced version of RIE and is capable of creating highly anisotropic and vertical-wall cuts ...
  5. [5]
    [PDF] ULTRA HIGH ASPECT-RATIO AND THICK DEEP SILICON ...
    This standard recipe provides an average etch rate of <1.5μm/min for 2μm wide trenches and <2μm/min for 5 μm features. The 5 μm trench closes at a depth of ...<|control11|><|separator|>
  6. [6]
    High aspect ratio silicon etch: A review - AIP Publishing
    Sep 9, 2010 · The success of HAR silicon etch depends on controlling the lateral etch rate and enhancing the vertical etch rate. Controlling the etch rates in ...
  7. [7]
    [PDF] Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC ...
    DRIE uses a time-multiplexed etch-passivate (TMEP) process, alternating etching with polymer passivation of sidewalls, to etch high aspect ratio SiC.<|separator|>
  8. [8]
    Challenges, developments and applications of silicon deep reactive ...
    Increasing depth of microstructures pushes on etch rate. Targeted values are up to 20 μm/min, whilst 10 μm/min are already feasible today.
  9. [9]
    Silicon Etching & DRIE | Samco Inc.
    Deep Si etching with aspect ratio of 23​​ Achieved 3 μm-wide, 70 μm-deep Si etching with a 100:1 selectivity using the Bosch process on the RIE-400iPB.
  10. [10]
    [PDF] BOSCH DRIE SHAPING MEMS - Transducer Research Foundation
    ABSTRACT. Deep Reactive Ion Etching (DRIE) is virtually shaping the. MEMS-field. The basic technology originally developed at Bosch.
  11. [11]
    Milestones in Deep Reactive Ion Etching | Request PDF
    The paper is telling the milestones from early development of the basic technology, the first steps into the MEMS-field, the way to mass-production, the first ...Missing: Schuegraf | Show results with:Schuegraf
  12. [12]
    [PDF] Plasma cryogenic etching of silicon
    Apr 6, 2020 · Etching of very low- temperature silicon substrates was first introduced at the end of the 1980s [2]. About eight years later, the Bosch process ...
  13. [13]
    [PDF] New Deep Reactive Ion Etching Process Developed for the ...
    Previously, the Sensors and Electronics Branch of the NASA Glenn Research Center developed a DRIE process for SiC using the etchant gases sulfur hexafluoride ( ...
  14. [14]
    Recent Advances in Reactive Ion Etching and Applications of High ...
    Cryogenic dry etching does have an advantage over cyclical DRIE since there is no scalloping of the sidewalls of the etched features (as explained below) and ...
  15. [15]
    A Review: Inductively Coupled Plasma Reactive Ion Etching of ... - NIH
    Dec 24, 2021 · The paper presents a review of silicon carbide etching—principles of the ICP-RIE method, the results of SiC etching and undesired phenomena of ...
  16. [16]
  17. [17]
    Method of anisotropically etching silicon - Google Patents
    The object of the invention is to create a method of the generic type with which a high anisotropic etching of silicon substrate can be achieved with ...
  18. [18]
    Reduced Etch Lag and High Aspect Ratios by Deep Reactive Ion ...
    In this work, we achieved a RIE lag reduction to below 1.5% at an etch depth of 50 μ m by solely adjusting parameters of a two-step Bosch process while ...
  19. [19]
    Part 2 – What is the Bosch Process (Deep Reactive Ion Etching)?
    The Bosch process is capable of producing deep features with exceptional anisotropy, etch-rate, and etch mask selectivity.Missing: scholarly | Show results with:scholarly
  20. [20]
    DRIE Bosch process parameters for silicon etching. - ResearchGate
    DRIE Bosch process is based on alternating Si etching steps using SF 6 as reacting gas and passivation steps by fluorocarbon polymer layer deposition from C 4 F ...<|control11|><|separator|>
  21. [21]
    ICP Etching Recipes - UCSB Nanofab Wiki
    For trouble igniting ICP plasma, add 15 to 75 W of bias power during ignition step. Typical ignition pressures 5 to 10 mT. Si Etch Recipes (Fluorine ICP Etcher).
  22. [22]
    Scalloping - an overview | ScienceDirect Topics
    The scalloping usually results in surface roughness > 100 nm and limits the achievable NW diameter to > 200 nm in a standard Bosch process. Table 9 shows the ...
  23. [23]
    Deep-reactive ion etching of silicon nanowire arrays at cryogenic ...
    Apr 17, 2024 · The pseudo-Bosch recipe can eliminate the scalloping effect and realize controllable etching profiles by tuning the gas ratio, while resulting ...
  24. [24]
    Cryogenic DRIE processes for high-precision silicon etching in ...
    Jun 26, 2024 · This method, compared to the Bosch process, yields vertical etch profiles with smoother sidewalls not subjected to scalloping, which are desired ...
  25. [25]
  26. [26]
    Mask material effects in cryogenic deep reactive ion etching
    Aug 6, 2025 · The division between RIE and DRIE can be made according to etch rate, selectivity, aspect ratio capability or reactor type. The main etching ...Missing: frost | Show results with:frost
  27. [27]
    [PDF] Cryogenic Etching in Advanced Electronics Manufacturing
    Sep 11, 2024 · An important point in the cryogenic DRIE process is that the etching process is very sensitive to temperature and oxygen flow. In recent years, ...
  28. [28]
    A systematic study of DRIE process for high aspect ratio ...
    Aug 5, 2025 · Request PDF | A systematic study of DRIE process for high aspect ratio microstructuring | Various MEMS devices like Accelerometers, Resonators,
  29. [29]
    [PDF] A DRIE CMOS-MEMS gyroscope - Carnegie Mellon University
    In this paper, we report an integrated DRIE CMOS-MEMS lateral-axis gyroscope with in-plane vibration and out-of-plane Coriolis accelera- tion sensing. The on- ...
  30. [30]
    [PDF] ultra-high aspect ratio trenches in single crystal silicon with
    Deep reactive ion etching (DRIE), or the Bosch process [1], has become a key enabler for creating high aspect ratio structures in silicon and has been used.<|control11|><|separator|>
  31. [31]
    [PDF] Integrated polysilicon and DRIE bulk silicon micromachining for an ...
    Abstract— This paper presents a fabrication process that in- tegrates polysilicon surface micromachining and deep reactive ion etching (DRIE) bulk silicon ...
  32. [32]
    High-aspect-ratio silica nozzle fabrication for nano-emitter ...
    Silica nozzles with aspect-ratio of over 20 were designed and fabricated in both single and array formats. An investigation of silicon deep etch by DRIE was ...
  33. [33]
    Advances in high-performance MEMS pressure sensors - Nature
    Dec 19, 2023 · This paper reviews common new trends in MEMS pressure sensors, including minute differential pressure sensors (MDPSs), resonant pressure sensors (RPSs), ...
  34. [34]
    How Process Technology for Automotive MEMS Jump Started ... - KLA
    Jul 22, 2021 · This new “Bosch Process” (also known as deep reactive ion etching – DRIE) enabled the anisotropic etching of extremely deep, high aspect ratio, ...
  35. [35]
    [PDF] Key Technologies for the Development of an Automotive MEMS ...
    Since DRIE is a fabrication process that is independent of the crystal orientation, DRIE has allowed fabrication of more complicated and higher- aspect-ratio ...<|control11|><|separator|>
  36. [36]
  37. [37]
  38. [38]
    [PDF] Development of a Deep Trench RIE Etch for Capacitor and Isolation ...
    The development of trenches for capacitors and device isolation is essential to meet the demands for increased circuit densities.Missing: DRIE | Show results with:DRIE
  39. [39]
    Deep Trench Isolation (DTI) - AnySilicon Semipedia
    Deep trench isolation (DTI) works by creating deep, narrow trenches in silicon substrates that effectively isolate active regions of a chip.
  40. [40]
  41. [41]
    What is Rolex Syloxi? An In-Depth Look At Rolex's Latest Innovation ...
    The Syloxi hairspring is manufactured entirely in-house by Rolex via a high-precision manufacturing process known as deep reactive ion etching (DRIE). This is a ...
  42. [42]
    US20160238994A1 - Silicon hairspring - Google Patents
    The width and height of the hairspring strip varies from 35 to 40 μm and 200 to 210 μm, respectively, depending on oxide thickness used. The total hairspring ...
  43. [43]
    Deep plasma etching of glass for fluidic devices with different mask ...
    Aug 10, 2025 · Deep reactive ion etching (DRIE) processes have been developed for fabricating fluidic devices in glass (Pyrex™ and fused silica) substrates ...
  44. [44]
    Microfabrication of cavities in polydimethylsiloxane using DRIE ... - NIH
    We present a novel method to create cavities in PDMS that is simple and exhibits wide process latitude allowing control over the radius of curvature.
  45. [45]
    Fabrication of silicon micro-mould for polymer replication using ...
    There are many methods for making moulds (charged particle lithography, deep reactive ion etching (RIE), micro-machining, etc.) for micro-lenses, fluidic ...Missing: DRIE molds
  46. [46]
    [PDF] DRIE Technology for MEMS, PEUG 2-09 - NCCAVS Usergroups
    Feb 26, 2009 · step into a standard Bosch process sequence optimizes polymer removal. Aspect Ratios of 100:1 can be achieved. AR= 65. AR= 56. AR= 50.
  47. [47]
    Si DRIE for Through-wafer Via Fabrication | Semiconductor Digest
    Silicon etch rates >20 µm/min. are routinely achieved in MEMS manufacturing ... Silicon etch rate in a “Bosch process” increases when a high pressure ...
  48. [48]
    Deep Reactive Ion Etching (DRIE) - Corial - Plasma-Therm
    Deep Reactive Ion Etching is enabled by equipment that can achieve high density of reactive species, and independent control of ion current and ion energy.
  49. [49]
    (PDF) Deep reactive ion etching of silicon carbide - ResearchGate
    Aug 6, 2025 · In this article, we describe more than 100-mm-deep reactive ion etching ~RIE! of silicon carbide ~SiC! in oxygen-added sulfur hexafluoride ~SF6) plasma.<|control11|><|separator|>
  50. [50]
    A method to evade silicon backside damage in deep reactive ion ...
    The silicon wafer is etched to a depth of 230 μm using DRIE technology with standard photoresist mask, resulting in a 150 μm thick. Results and discussion.
  51. [51]
    DRIE - BOSCH Semiconductors
    Specific for high rate DRIE. Open area on wafer up to 60%; Etch depth currently up to 450µm (e.g. in 600 x 800), more possible ; Example 1: High rate without end ...
  52. [52]
    Ultra Deep Reactive Ion Etching of High Aspect-Ratio and Thick ...
    Jun 21, 2018 · Deeper trenches are expected to be etched beyond a 1-mm thick wafer with thicker and/or higher selectivity masking materials. We have also ...
  53. [53]
    An advanced reactive ion etching process for very high aspect-ratio ...
    Aug 6, 2025 · Deep-reactive ion etching enables highly anisotropic silicon etching with high-selectivity relative to photoresists, making it feasible to ...
  54. [54]
    [PDF] an ultra-low cost deep reactive ion etching (drie) tool for flexible
    The passivation layer inhibits etching of the sidewalls, encouraging an anisotropic profile [6]. ... Laermer, A. Schilp, “Method of anisotropically etching ...
  55. [55]
    [PDF] NOTCH-FREE ETCHING OF HIGH ASPECT SOI STRUCTURES ...
    Aug 19, 2015 · When the etch reaches the inter- face, the insulator is exposed and the conductive current path is broken, which allows charge separation to ...
  56. [56]
    Cryogenic Etching in Advanced Electronics Manufacturing
    Sep 30, 2024 · As mentioned above, cryogenic etching was first applied in the 1980s to address the shortcomings of the Bosch process. In 1988, Tachi et al. [23] ...
  57. [57]
    [PDF] Byproducts of Sulfur Hexafluoride (SF6) Use in the Electric Power ...
    This document provides summary information on sulfur hexafluoride (SF6) byproducts. It was prepared for the U.S. Environmental Protection Agency (U.S. EPA), ...
  58. [58]
    Universal Plasma Abatement System - Air Liquide Electronics Systems
    Universal Plasma Abatement System. Fuel-free abatement solution for the destruction of perfluorinated compounds (PFCs) and hydrofluorocarbons (HFCs).
  59. [59]
    Improving sidewall roughness by combined RIE-Bosch process
    The combined RIE-Bosch process uses RIE to avoid rippled sidewalls, reducing roughness from 15.1 nm to 6.89 nm, and achieving less than 7nm roughness.Missing: challenges | Show results with:challenges