Fact-checked by Grok 2 weeks ago

Three-dimensional integrated circuit

A three-dimensional integrated circuit (3D IC) is an electronic chip in which two or more layers of active components, such as transistors and interconnects, are stacked vertically and interconnected, often using through-silicon vias (TSVs), to enable denser and enhanced beyond the limitations of conventional two-dimensional (2D) planar circuits. This vertical stacking addresses challenges in scaling by shortening interconnection lengths and allowing heterogeneous of diverse technologies, such as combining logic and layers. Developed as a response to the slowing pace of transistor scaling, 3D ICs emerged prominently in the 1980s through early research on monolithic stacking, with significant advancements in fabrication techniques like TSV etching (e.g., via the Bosch process at 5–10 μm/min) and metal filling (e.g., ) occurring by the early . Key technologies include dielectric insulation (e.g., SiO₂ via ), barrier layers (e.g., Ti or via ), and bonding methods such as wafer-to-wafer or die-to-wafer alignment with sub-micrometer precision. These enable 3D ICs to supplement traditional planar scaling, which faces physical and economic challenges below 5 nm nodes. The primary advantages of 3D ICs over 2D designs include reduced wire lengths leading to lower and power consumption (e.g., up to 2.9x power reduction in 3D field-programmable gate arrays), higher (e.g., 15x faster in wide I/O stacks), smaller form factors (e.g., 90% less space), and the ability to integrate disparate elements like image sensors with processing logic. However, challenges persist in thermal management due to concentration in stacked layers, testing of individual planes, and ensuring TSV reliability under stress. Applications of 3D ICs span high-performance computing, such as stacked memory in processors (e.g., high bandwidth memory cubes), mobile devices for compact power-efficient chips (e.g., processors using Intel's Foveros technology), microelectromechanical systems (MEMS), CMOS image sensors, and emerging bioapplications like implantable devices. As of 2025, 3D ICs are integral to AI and high-performance computing via technologies like TSMC's 3D Fabric and HBM4 memory stacks. These implementations demonstrate 3D ICs' potential to revolutionize system-on-chip designs by enabling novel architectures with improved energy-delay products (e.g., 5x in 3D SRAM).

Fundamentals

Definition and principles

A three-dimensional integrated circuit (3D ) is a metal-oxide-semiconductor () integrated circuit () formed by vertically stacking two or more dies or layers into a single functional device, interconnected using through-silicon vias (TSVs) or similar vertical conduits to achieve higher integration density and shorter signal paths. This approach contrasts with conventional two-dimensional (2D) ICs, where components are arranged in a single planar layer, by exploiting the vertical dimension to integrate disparate circuit elements more compactly. The core principles of 3D ICs revolve around to address the limitations of interconnect-dominated performance in advanced nodes. In ICs, scaling reduces gate delays but exacerbates interconnect delays due to longer relative wire lengths, higher , and increased ; the delay for an RC interconnect model is given by \tau = RC, where R is the and C is the of the wire. By stacking layers, 3D ICs minimize wire lengths—often to micrometer-scale vertical vias—thereby reducing R (through shorter paths and lower resistivity materials) and C (via reduced fringing fields), which lowers \tau and enables clock frequencies beyond constraints while supporting higher densities without proportional increases in lateral area. Motivations for adopting 3D ICs stem from the slowing of in 2D planar scaling, where escalating fabrication costs, quantum tunneling effects, and thermal challenges hinder continued miniaturization. Vertical stacking circumvents these barriers by extending through the third dimension, yielding improvements in performance, power efficiency, and without relying solely on feature-size reduction. Key concepts in 3D ICs include heterogeneous stacking, where layers of differing technologies—such as and —are combined to optimize system-level functionality, as exemplified by integrating high-speed with dense . This vertical architecture can deliver more than twofold over equivalent 2D designs for dual-layer stacks, scaling further with additional tiers while maintaining through TSVs.

Interconnect levels

In three-dimensional integrated circuits (3D ICs), interconnections are organized into a hierarchical structure spanning local, intermediate, and global levels, enabling efficient vertical integration across different scales from transistor contacts to package interfaces. This taxonomy classifies connections based on their physical scale, density, and function, with local interconnects handling short-range, high-density signaling within or between closely stacked layers, intermediate interconnects bridging dies or wafers for mid-range communication, and global interconnects managing broader system-level routing. The hierarchy leverages vertical stacking to shorten signal paths compared to traditional two-dimensional (2D) layouts, as outlined in semiconductor roadmaps. Local interconnect level focuses on transistor-to-transistor connections, typically with pitches below 1 μm, achieved through monolithic integration where multiple device layers are fabricated sequentially on the same using nanoscale vias akin to standard back-end-of-line (BEOL) processes. These fine-pitch connections (<1 μm) support high-speed local signaling with minimal resistance and capacitance, ideal for dense logic or memory arrays, and enable seamless vertical extension of intra-layer wiring without the need for through-silicon vias (TSVs). In monolithic 3D ICs, local interconnects form the foundation for ultra-short propagation delays, often modeled as extensions of 2D local wires but with vertical stacking reducing overall path lengths by factors of 1.7 to 2.9 in critical paths for structures like field-programmable gate arrays (FPGAs) or static random-access memory (SRAM). Intermediate interconnect level addresses die-to-die or wafer-to-wafer stacking, with pitches ranging from 1 to 10 μm, primarily using TSVs or hybrid bonding to vertically link circuit blocks across layers. This level supports higher-density vertical routing than global interconnects, with TSV diameters often 1–5 μm and aspect ratios up to 20:1, facilitating reduced signal propagation distances that can lower latency by 50–70% compared to equivalent 2D interconnects in multi-core or heterogeneous systems. For instance, in stacked , intermediate connections enable partitioning of logic and memory, where signal models show exponential decay in delay due to shortened wire lengths, contrasting with the planar routing of monolithic local levels. Global interconnect level operates at package-scale with pitches exceeding 10 μm, employing wire bonds, interposers, or micro-bumps to distribute power, clock signals, and data across the entire 3D stack or multiple chips. These coarser connections (e.g., 10–50 μm pitch) prioritize robust power delivery and low-frequency signaling over density, integrating the outputs of lower levels into system-on-chip (SoC) architectures while maintaining compatibility with conventional packaging. In 3D IC taxonomies, global levels differ from stacked intermediate ones by their focus on inter-module bridging, where propagation models emphasize inductance effects over pure RC delay, supporting overall stack functionality without delving into fine-grained vertical integration.

Types

3D ICs versus 3D packaging

Three-dimensional integrated circuits (3D ICs) and 3D packaging represent distinct approaches to achieving higher integration density in semiconductor devices, with the primary difference lying in the level of electrical and structural integration between components. In 3D ICs, multiple layers of active silicon devices, such as transistors, are stacked vertically and interconnected using through-silicon vias (TSVs) that enable direct electrical connections across layers, allowing for fine-grained, device-level integration. This active stacking contrasts with 3D packaging, which involves post-fabrication assembly of pre-packaged dies or chips without such interlayer active connections, relying instead on passive interconnects like wire bonding or solder bumps to form system-in-package (SiP) modules. Subtypes of 3D packaging further illustrate this boundary, often emphasizing coarser, system-level assembly rather than vertical active integration. For instance, 2.5D interposer-based packaging arranges multiple dies horizontally on a silicon or organic interposer for redistribution of signals, providing heterogeneous integration but without true vertical stacking of active layers. In contrast, 3D wafer-level packaging (WLP) enables vertical stacking of dies using micro-bumps or passive TSVs at lower densities (typically ~10⁴–10⁵ pins/cm²), focusing on mechanical and basic electrical assembly rather than transistor-level connectivity. True 3D ICs, however, achieve higher TSV densities (up to 10⁵–10⁶ pins/cm²) with ultra-thin active layers (~few µm thick), supporting seamless vertical integration of logic and memory. Representative examples highlight these functional boundaries. A 3D IC might involve stacking directly on logic dies using high-density to form hybrid memory-logic structures, enabling short interconnect paths for enhanced bandwidth. Conversely, 3D packaging examples include multi-chip modules () assembled via wire bonding or package-on-package () stacking, which integrate disparate components like processors and peripherals without active interlayer transistor connections. The implications of these distinctions are significant for design and application. 3D ICs offer finer granularity at the layer level, supporting advanced heterogeneous integration with superior performance metrics, such as reduced latency and power consumption due to shorter interconnects. In comparison, 3D packaging is better suited for coarser heterogeneous systems, providing flexibility for combining off-the-shelf components but with limitations in density and electrical efficiency.
Aspect3D ICs3D Packaging
Integration LevelActive device stacking (transistors across layers)Passive die/chip assembly
Interconnect MethodHigh-density TSVs (1–16 µm pitch)Wire bonding, micro-bumps, or low-density TSVs
Stacking TypeVertical, layer-level (e.g., logic-memory)Vertical/horizontal, system-level (e.g., PoP, MCMs)
Density Example10⁵–10⁶ pins/cm²~10⁴–10⁵ pins/cm²
Key AdvantageFine-grained performance gainsEasier heterogeneous assembly

3D stacked ICs

3D stacked (ICs) are formed by vertically assembling multiple pre-fabricated and thinned silicon dies to create heterogeneous structures known as 3D silicon ICs (3D SiCs). This approach involves bonding dies using techniques such as microbumps or hybrid bonding, where microbumps provide interconnections at pitches around 40 μm, while hybrid bonding enables direct copper-to-copper connections with densities up to 1,000 times higher than microbumps per unit area. Recent commercial examples include TSMC's 3D SoIC technology used in advanced processors as of 2025, achieving hybrid bonding at pitches below 10 μm. The dies are typically thinned to 50–100 μm to facilitate stacking while maintaining structural integrity, allowing for shorter interconnect paths that enhance overall integration. Key variants of 3D stacked ICs include die-to-wafer (D2W), wafer-to-wafer (W2W), and die-to-die (D2D) stacking processes. In W2W bonding, entire wafers are aligned and bonded face-to-face, often used in applications like 3D NAND for its high throughput, though it requires uniform wafer quality to avoid yield losses from defects. D2W involves placing individual dies onto a base wafer using pick-and-place tools, enabling known-good-die selection and flexibility for heterogeneous integration, while D2D extends this to pairwise bonding for custom stacks. A critical requirement across these variants is alignment accuracy below 1 μm to support high-density interconnections, with commercial tools achieving sub-micrometer precision (e.g., 0.25–0.5 μm) through methods like infrared imaging and adaptive chucks to compensate for rotation and translation errors up to 2°. Thinning processes for the dies, essential to stacked architectures, typically involve mechanical grinding followed by lapping, wet etching, and chemical-mechanical polishing (CMP) to reach thicknesses as low as 50 μm, with extremes down to 6–10 μm possible but risking wafer warpage and handling fragility. Alignment challenges in these ultra-thin dies arise from thermal mismatches, surface particles smaller than 1 μm causing bonding voids, and post-thinning distortions, necessitating advanced metrology for overlay control at pitches below 10 μm. Prominent examples of 3D stacked ICs include high-bandwidth memory (HBM) stacks, which assemble up to eight DRAM dies using through-silicon vias (TSVs) and microbumps to form a 1024-bit wide interface, enabling wide I/O bandwidth for data-intensive applications. Another is AMD's 3D V-Cache, a logic-memory hybrid that stacks a 64 MB SRAM cache die directly onto a Zen compute die via hybrid bonding with 9 μm pitch connections, tripling L3 cache capacity while adding minimal latency. This technology uses backside thinning to expose TSVs on the cache die before bumpless copper bonding, demonstrating die-level integration for enhanced processor performance.

Monolithic 3D ICs

Monolithic 3D integrated circuits (M3D ICs) involve the sequential fabrication of multiple active device layers and interconnects directly on a single substrate, without separating individual dies or relying on the assembly of prefabricated wafers. This process builds upon standard planar fabrication by adding upper tiers through repeated cycles of deposition, etching, and patterning, enabling vertical integration at nanometer scales. Unlike 3D stacked ICs that assemble separate dies, M3D ICs maintain a continuous single-wafer flow, which supports interconnect pitches below 1 μm and eliminates the need for large in local connections. A key example is CEA-Leti's technology, which demonstrates this sequential approach in a 28 nm FD-SOI process, integrating transistors between metal levels M4 and M5 at temperatures under 500°C to protect underlying circuitry. Central to M3D ICs are features like monolithic inter-tier vias (MIVs), which are 1-2 orders of magnitude smaller than TSVs, achieving densities such as 37,600 MIVs/mm² in CoolCube™ implementations with low aspect ratios for fine-grained connectivity. Layer transfer methods, including ion-cut techniques, facilitate the placement of ultra-thin active silicon layers (under 20 nm thick) atop processed tiers, allowing high-precision stacking without high-temperature damage to bottom devices. These enable advanced structures like complementary field-effect transistors (), where n-type and p-type nanosheet devices are vertically stacked with a thin dielectric separator, as demonstrated by imec on 300 mm wafers using an N14 platform, supporting gate pitches around 48 nm. No TSVs are required for intra-layer or short vertical links, relying instead on contact vias or nano-scale MIVs for seamless transistor integration. The primary advantages of M3D ICs stem from their superior interconnect scaling, offering up to 10 times the density of conventional 3D stacking approaches, which reduces signal propagation delays and power usage while enabling more compact layouts. In CoolCube™, this has led to practical demonstrations such as 128×128 3D SRAM arrays and 32-bit RISC-V SoCs with improved thermal coupling to mitigate hot spots. CFET-based M3D stacks further enhance this by providing 1.5× to 2× logic density gains over side-by-side planar CMOS at equivalent nodes, as shown in TSMC and imec prototypes with over 90% transistor yield, ideal for high-performance computing beyond 1 nm scales. These benefits position M3D as a path to extend Moore's law through vertical transistor density rather than lateral scaling alone. However, M3D ICs face distinct challenges in sequential processing, particularly the need for nanometer-precise alignment between layers to ensure reliable MIV contacts and avoid yield losses from misalignment. Thermal budget management is another critical hurdle, as upper-tier fabrication must occur at low temperatures (<500°C) to prevent dopant diffusion or performance degradation in bottom transistors, restricting material options and complicating process flows. These issues demand advanced metrology and low-temperature deposition techniques, though they have been addressed in prototypes like CoolCube™ through optimized ion-cut transfers and hybrid bonding variants.

Manufacturing technologies

Stacking and bonding methods

Stacking and bonding methods are critical for assembling 3D stacked integrated circuits (ICs), enabling the vertical integration of multiple device layers to achieve higher density and performance. These techniques primarily involve aligning and attaching thinned wafers or dies, with choices depending on factors such as throughput, yield, and flexibility in handling heterogeneous components. The main approaches include , , and bonding, each offering distinct trade-offs in alignment precision and manufacturing efficiency. Wafer-to-wafer (W2W) bonding aligns and bonds entire 300 mm wafers, providing the highest throughput—typically around 4 wafers per hour—and the best alignment accuracy, with overlay errors below 1.5 μm, enabling sub-micrometer precision for high-density interconnects. This method excels in uniform stacking for homogeneous applications but cannot selectively incorporate known-good dies, making it sensitive to wafer-level defects; for instance, a defect density of 0.3 per cm² can significantly increase costs. In contrast, die-to-wafer (D2W) bonding places individual pre-tested dies onto a carrier wafer, allowing for known-good-die selection that boosts overall yield—up to 85% compared to 46% for W2W under similar conditions (80% incoming yield, 95% bonding yield)—though it offers moderate throughput and slightly reduced alignment accuracy. Die-to-die (D2D) bonding, which attaches individual dies directly to each other, provides maximum flexibility for custom heterogeneous stacks and known-good-die usage but suffers from the lowest throughput and alignment precision due to sequential pick-and-place operations, limiting it to low-volume or specialized production. Bonding types vary based on the need for mechanical strength, electrical connectivity, and processing temperature. Adhesive bonding uses polymers applied at low temperatures (below 200°C), offering patternability and tolerance to surface irregularities without metal ion contamination, making it suitable for initial attachment in sensitive devices like MEMS-integrated 3D ICs. Metal bonding, such as Cu-Cu thermocompression, applies force and heat (typically above 350°C) to diffuse copper pads directly, forming robust electrical and mechanical joints for high-performance applications, though it requires ultra-clean, flat surfaces to avoid voids. Hybrid bonding combines dielectric-to-dielectric (e.g., SiO₂ or SiCN) and metal-to-metal (Cu-Cu) interfaces, enabling room-temperature initial contact followed by annealing at 250–300°C for fusion; this method achieves fine pitches down to 400 nm with overlay below 150 nm, supporting dense vertical interconnects in advanced stacks. The stacking process begins with die or wafer thinning via backside grinding and chemical-mechanical polishing (CMP) to reduce thickness to 20–50 μm, exposing through-silicon vias (TSVs) for interconnection while maintaining structural integrity. Alignment follows, using optical or infrared (IR) imaging to achieve sub-micrometer precision, with W2W methods demonstrating overlay below 150 nm in advanced processes, targeting <100 nm for high-volume scenarios. Bonding then applies controlled force and temperature cycles—such as 1–5 MPa pressure at 250–400°C for 30 minutes to hours—to form permanent joints, often after surface activation to enhance adhesion. Yield modeling accounts for defect densities below 0.1 per cm² to ensure stack reliability, with pre-bonding testing in D2W and D2D mitigating faults from thinning-induced warping or particle contamination. Representative examples illustrate these methods in production. Samsung employs W2W hybrid bonding for its V-NAND flash memory, stacking cell and peripheral wafers, as in its 9th-generation V-NAND with over 280 layers (as of 2024), with plans to exceed 400 layers to reduce interconnect length and enable higher storage densities. TSMC utilizes D2W bonding in its CoWoS and SoIC technologies, attaching logic and memory dies to interposers or carrier wafers for high-performance computing applications like AI accelerators, achieving flexible heterogeneous integration with tight pitches.

Interconnect fabrication

In three-dimensional integrated circuits (3D ICs), interconnect fabrication primarily involves creating vertical electrical pathways to enable communication between stacked layers, with through-silicon vias (TSVs) serving as the dominant technology for achieving high-density connections. TSVs are cylindrical conduits etched through the silicon substrate, typically filled with conductive material to form reliable vertical links. The fabrication of TSVs begins with deep reactive ion etching (DRIE), a Bosch process that creates high-aspect-ratio holes in the silicon wafer, yielding vias with diameters of 5–10 μm and aspect ratios exceeding 10:1 to support dense stacking without compromising structural integrity. Following etching, a thin silicon dioxide (SiO₂) liner is deposited via chemical vapor deposition to provide electrical insulation between the conductive fill and the surrounding silicon, preventing short circuits and minimizing parasitic capacitance. A barrier layer, often tantalum or titanium nitride, is then applied to prevent copper diffusion, followed by a copper seed layer for electroplating; the via is subsequently filled with copper through electrochemical deposition, achieving void-free fills even at high aspect ratios. Finally, chemical mechanical polishing (CMP) reveals the top of the TSV, planarizing the surface for subsequent bonding or metallization. Alternatives to traditional TSVs include Cu-Cu direct bonding, which eliminates the need for filled vias by enabling metal-to-metal connections at pitches below 10 μm, offering lower resistance and finer scaling for high-performance applications. In monolithic 3D ICs, nano-TSVs with diameters under 1 μm address the limitations of larger vias, facilitating ultra-dense vertical integration by leveraging advanced lithography and etching techniques compatible with sub-micron features. TSV integration into the overall 3D IC process flow occurs via three main schemes: via-first, where vias are formed before front-end-of-line (FEOL) transistor fabrication to avoid thermal budget conflicts; via-middle, positioned during back-end-of-line (BEOL) metallization for balanced compatibility with standard CMOS processes; and via-last, executed after full BEOL to minimize impacts on device performance but requiring careful handling of thinned wafers. These approaches must account for thermal stresses arising from coefficient of thermal expansion (CTE) mismatches between copper (CTE ≈ 17 ppm/°C) and silicon (CTE ≈ 2.6 ppm/°C), which can induce mechanical strain during processing or operation; the induced stress can be modeled using the equation \sigma = E \Delta \alpha \Delta T where \sigma is the thermal stress, E is the Young's modulus of the material, \Delta \alpha is the CTE difference, and \Delta T is the temperature change, guiding designs to mitigate cracking or delamination risks. Practical implementations highlight these techniques' maturity; for instance, Intel's Foveros technology employs hybrid bonding with TSVs to stack compute dies at fine pitches, enabling heterogeneous integration in processors like Meteor Lake. Similarly, Micron's 232-layer 3D NAND flash, introduced in 2022, utilizes advanced vertical stacking for high-bandwidth connections between layers, achieving up to 2 TB capacity per package with 50% faster I/O than prior generations; more recent examples include its 276-layer NAND entering production in 2024.

Benefits

Performance enhancements

Three-dimensional integrated circuits (3D ICs) deliver substantial performance gains by minimizing interconnect lengths through vertical stacking, which directly addresses the limitations of planar layouts in advanced nodes. The primary mechanism is the reduction in RC delay, where the time constant τ for distributed interconnect lines scales with the square of the length L (τ ∝ L²), as both resistance and capacitance are linearly proportional to L. This quadratic relationship means that halving interconnect lengths can reduce delay by up to a factor of 4, with 3D stacking often achieving 5–10× overall improvements in signal propagation for global wires by shortening paths from millimeters to micrometers via through-silicon vias (TSVs). These shorter interconnects enable higher clock frequencies in stacked logic, with demonstrations exceeding 2 GHz in 3D prototypes, such as eDRAM caches stacked over processor-like logic achieving 2 GHz operation with lower latency than 2D equivalents. For instance, TSV-based 3D ICs have shown up to 63% delay reduction in benchmark circuits compared to 2D implementations, allowing sustained clock speeds above 2 GHz in multi-layer logic stacks without excessive power overhead. Bandwidth enhancements arise from the high-density vertical interfaces in 3D ICs, exemplified by High Bandwidth Memory (HBM) stacks that deliver per-pin data rates of 1–9.6 Gb/s, culminating in total bandwidths over 1 TB/s per stack. Heterogeneous 3D stacking positions logic dies in direct proximity to memory, reducing data traversal distances and enabling aggregate throughputs exceeding 1.2 TB/s in configurations for compute-intensive applications, with commercial 16-layer stacks reaching up to 1.5 TB/s as of 2025. Functionality is elevated through architectures that leverage 3D integration for specialized components, such as expanded cache hierarchies. AMD's Zen 4 processors incorporating , launched in 2022, stack additional L3 cache vertically to boost instructions per cycle (IPC) by about 15% in gaming and cache-sensitive workloads relative to standard Zen 4 dies. This vertical cache integration enhances hit rates and reduces memory access penalties, supporting more complex on-chip computations. Key metrics underscore these gains, with hybrid-bonded 3D designs achieving energy-delay product improvements of 25.8% via localized signaling over short vertical links, which minimizes capacitive loading and dynamic energy per operation compared to long 2D wires, establishing 3D ICs as critical for scaling high-performance systems.

Integration and efficiency gains

Three-dimensional integrated circuits (3D ICs) achieve significant size reduction through vertical stacking of multiple die layers, enabling transistor densities that exceed those of traditional two-dimensional (2D) layouts by more than twofold. For instance, high-bandwidth memory (HBM) implementations have demonstrated stacking up to 16 layers of DRAM, effectively doubling the layer count compared to prior generations and allowing for compact form factors suitable for mobile devices and Internet of Things (IoT) applications. This vertical integration reduces the overall footprint while maintaining or increasing computational capacity, as the third dimension provides additional space for circuitry without expanding the lateral area. Power efficiency in 3D ICs is enhanced by shorter interconnect lengths, which minimize resistive (IR) drops and capacitive loads compared to 2D designs. Shorter wires reduce voltage drops across the power grid, with studies showing average IR-drop reductions of up to 11.8% through optimized through-silicon via (TSV) placement. Additionally, proximity between stacked layers decreases parasitic capacitance, lowering dynamic power dissipation according to the relation P = \alpha C V^2 f, where reduced C directly contributes to savings; prototypes have achieved 20% overall power reduction relative to 2D equivalents. In HBM stacks, these effects yield 15–20% power improvements in mobile contexts, supporting energy-constrained environments. Heterogeneous integration in 3D ICs facilitates the co-packaging of diverse components, such as CMOS logic, memory, and sensors, into a single unit, streamlining system-level design. This approach reduces the number of external I/O pins by leveraging short vertical interconnects, with wide I/O configurations cutting pin counts by approximately 50% while preserving high bandwidth. By embedding sensors directly atop processing layers, 3D ICs enable compact, multifunctional systems without the need for separate modules, as demonstrated in mixed-signal integrations combining III-V photodetectors with silicon CMOS. Security benefits arise from the compact geometry of 3D ICs, where shorter signal paths between layers limit the physical accessibility required for side-channel attacks, such as electromagnetic (EM) analysis. The vertical stacking obscures intermediate signals, making it harder for adversaries to probe or infer data through external emissions or power fluctuations. This inherent shielding supports trustworthy computing by mitigating vulnerabilities like access-driven cache attacks, enhancing overall hardware security in stacked architectures.

Challenges

Thermal and reliability issues

Three-dimensional integrated circuits (3D ICs) face significant thermal challenges primarily due to the vertical stacking of dies, which concentrates heat dissipation over a smaller footprint compared to traditional two-dimensional (2D) ICs. In 2D ICs, average power densities typically range from 50 to 100 W/cm², whereas 3D stacking can elevate these to 100–200 W/cm² or higher, with hotspots reaching 200–1000 W/cm² or more depending on the configuration and workload. This increased power density arises from the overlap of multiple active layers, leading to hotspot formation where localized heat generation exceeds average levels by 2–4 times. Thermal resistance in 3D ICs is exacerbated by stacking, modeled fundamentally as \theta = \frac{L}{kA}, where \theta is thermal resistance, L is the path length (increased vertically), k is thermal conductivity, and A is the cross-sectional area (potentially reduced by dense interconnects); contributions from low-conductivity underfill (0.1–0.2 W/m·K) and back-end-of-line (BEOL) layers further elevate junction temperatures, risking thermal runaway above 100°C. Reliability issues in 3D ICs stem from these thermal gradients and mechanical stresses, particularly in through-silicon vias (TSVs). Electromigration in TSVs, driven by high current densities and elevated temperatures, follows Black's equation for mean time to failure (MTTF): \text{MTTF} = A j^{-n} \exp\left(\frac{E_a}{kT}\right), where A is a material constant, j is current density, n is the exponent (typically 1–2 for Cu), E_a is activation energy (~0.7–1.0 eV for Cu), k is Boltzmann's constant, and T is absolute temperature; vertical thermal gradients accelerate this process, reducing MTTF by factors of 10 or more compared to 2D interconnects. Additionally, coefficient of thermal expansion (CTE) mismatch between silicon (2.6 ppm/K) and copper TSVs (17 ppm/K) induces thermo-mechanical stresses during thermal cycling, leading to voiding and microcracks in the Cu-Si interface. Failure modes such as delamination and cracking occur during bonding or operation when interfacial stresses exceed 1 GPa, often propagating from underfill-silicon boundaries and compromising stack integrity. To mitigate these issues, advanced cooling and material strategies are employed. Microfluidic cooling, including embedded microchannels with dielectric fluids like HFE-7100, can dissipate up to 1020 W/cm² while maintaining junction below 60°C in two-phase systems handling 350 W/cm². Thermal vias, such as high-conductivity TSVs integrated in high-bandwidth memory (HBM) stacks, enhance vertical heat conduction by reducing effective resistance by 20–30%. Underfill materials with improved conductivity (targeting >1 W/m·K via fillers like nanoparticles) minimize stress concentrations and void formation. For instance, IBM's test chips incorporating thermal vias and optimized underfill demonstrated only a 15–25% rise under high-power operation compared to unmitigated stacks, validating these approaches in practical prototypes. Recent advancements as of 2024 include microfluidic systems handling over 1000 W/cm² in prototypes.

Cost and design complexities

The fabrication of three-dimensional integrated circuits (3D ICs) incurs significantly higher costs compared to traditional two-dimensional () ICs, primarily due to the additional processes involved in (TSV) integration, , and . These steps can add substantial expenses, with specialized equipment for and often exceeding $100 million in investment. TSV fabrication alone introduces mechanical strains and process complexities that elevate overall costs, as the technology requires precise handling to avoid defects. Yields for TSV-based 3D ICs, while historically lower, have improved to around 90-95% as of 2024 on 8-inch wafers, approaching those of conventional processes (typically >95%), though challenges in and defect control persist. This disparity arises from defects during stacking and , which can render entire wafers unusable and amplify costs, particularly for high-value dies where defective TSVs lead to wasted resources. Design complexities in 3D ICs stem from the need for advanced partitioning strategies to divide circuits across multiple layers, minimizing inter-layer connections and TSV overhead while optimizing for heterogeneous technologies. Layer assignment algorithms, such as analytical partitioning or neural network-based methods, are essential for balancing wirelength, timing, and constraints, but they increase computational demands compared to layouts. Multi-physics simulations are required to model coupled thermal-electrical effects, including IR drop, at TSV interfaces, and heat dissipation, due to the high packing density that exacerbates these interactions. Additionally, the lack of standardized (IP) blocks for 3D integration hinders reuse, as existing IP often requires redesign for TSV compatibility and interoperability. Testing 3D ICs presents unique challenges, necessitating pre-bond known-good-die (KGD) probing to identify functional dies before stacking, often using sacrificial probe pads and compression techniques to access fine-pitch I/Os without permanent overhead. Post-stacking fault isolation is critical for detecting defects in TSV interconnects and non-bottom dies, employing standards like for hierarchical access and (BIST) methods such as testing. Design-for-test (DFT) structures, including chains and wrapper cells, introduce an area overhead of 5-10%, balancing test coverage with silicon efficiency. The absence of unified (EDA) tools tailored for 3D ICs exacerbates these issues, as current platforms are optimized for 2D designs and struggle with modeling TSVs and multi-layer interactions, often resulting in design times doubling due to manual adaptations and fragmented flows. Standardization gaps in EDA further complicate across tools and teams, limiting efficient co-optimization of physical, , and electrical domains.

Design approaches

Integration styles

Three-dimensional integrated circuits (3D ICs) employ various integration styles to partition and interconnect multiple layers, enabling higher and performance compared to traditional designs. These styles differ in granularity, from fine-grained or gate-level stacking to coarser block-level assembly, each balancing , complexity, and manufacturability. Gate-level integration, often realized through monolithic processes, involves partitioning standard cells or individual s across vertically stacked tiers, allowing for custom with nanoscale interlayer vias. This approach reuses existing standard cells without area overhead, potentially doubling by stacking gates directly atop one another. For instance, monolithic gate-level integration has been applied in radio-frequency integrated circuits (RFICs) to enhance area efficiency, where active devices and passives like inductors are stacked to reduce footprint while maintaining high-frequency performance. In contrast, block-level integration operates at a coarser granularity, stacking predefined functional modules such as memory caches or IP blocks across dies, typically using through-silicon vias (TSVs), hybrid bonding, or standards like for die-to-die interfaces. This style facilitates heterogeneous integration, such as logic-memory stacks in system-on-chips (SoCs), where entire blocks like caches are bonded to dies to shorten paths and improve bandwidth. Commercial examples include high-bandwidth memory (HBM) stacks integrated with GPU SoCs, enabling efficient access in applications. Key approaches in these styles include 3D floorplanning, which optimizes vertical placement of components to minimize wirelength and thermal hotspots. Algorithms for 3D floorplanning treat the problem as packing rectangular blocks in a multi-layer space, often employing slicing or non-slicing representations to determine layer assignments. Vertical placement techniques, such as multi-level min-cut partitioning, further reduce interlayer wire by balancing across tiers, leading to shorter global wires in simulated designs. To address challenges inherent in multi-layer stacking, strategies incorporate spare layers or redundant TSVs, allowing faulty elements to be bypassed through inter-layer sharing mechanisms. For example, in 3D-stacked memories, vertical allocation across dies can improve overall by 10-15% compared to per-layer spares alone. Trade-offs between styles are significant: gate-level integration achieves higher (up to 2x over equivalents) and wirelength reduction but introduces elevated interlayer parasitics and alignment challenges during fabrication. Block-level integration, while simpler to implement with existing tools and lower defect risks, offers limited heterogeneity and may incur TSV overhead, restricting gains to 1.5x or less in practice. These considerations guide selection based on application needs, with gate-level suiting custom, high-density logic and block-level favoring modular designs.

Tools and methodologies

The design of three-dimensional integrated circuits (3D ICs) relies on specialized (EDA) tools and methodologies that address the complexities of multi-layer stacking, inter-die interconnects, and system-level interactions. These tools enable stacking-aware placement, thermal-aware , and multiphysics to optimize , power, and reliability across dies. Key commercial platforms integrate co-design flows from exploration to signoff, supporting and 3D architectures. Synopsys 3DIC Compiler provides a unified platform for multi-die systems, featuring stacking-aware placement that facilitates analysis-driven partitioning, prototyping, and floorplanning for full-stack ICs. This tool automates high-speed die-to-die and leverages for optimization, integrating with multiphysics simulators to handle , , and mechanical stress effects. Cadence Innovus, as part of the Integrity 3D-IC Platform, supports multi-chiplet implementation with integrity-aware and placement, incorporating models from the Voltus IC Integrity Solution for temperature-dependent in stacked dies. Ansys RedHawk-SC Electrothermal offers comprehensive - simulation for / ICs, solving coupled equations for integrity, , and distribution across up to 1 billion instances, including transient and parasitic extraction for interconnects. Methodologies for 3D IC design emphasize , , and to manage interlayer challenges. Three-dimensional design for test (3D DFT) incorporates chains that span multiple layers, optimizing ordering to improve fault coverage and test in ICs through approaches like VIA3D, MAP3D, and OPT3D, which account for via and bonding impacts on chain length and . Multi-scale spans from device-level effects (e.g., TSV ) to package-level interactions, using platforms like 3D-IC to model electromagnetic, thermal, and structural phenomena across chiplets, interposers, and substrates for accurate system verification. for (TSV) insertion, such as in the MAX-3D TSV Placer, identifies optimal locations, optimizes placement in multi-level stacks, and exports data to 2D tools while avoiding blocked regions and ensuring 3D connectivity. Verification workflows focus on ensuring electrical and thermal integrity in 3D structures. IR-drop analysis evaluates voltage gradients in power delivery networks, targeting drops below 5% of the supply voltage (e.g., <0.055 V for 1.1 V VDD) to prevent performance degradation of up to 15%, using concurrent optimization of PDNs, TSVs, and wires via multi-objective genetic algorithms. Timing closure incorporates 3D parasitics extraction, generating RLCK models (resistance, inductance, capacitance, mutual inductance) for TSVs and micro-bumps; tools like Synopsys StarRC produce SPEF files that PrimeTime uses for static timing analysis across multi-die paths and PVT corners, enabling scalable verification of interlayer delays. Emerging methodologies leverage for partitioning and layer optimization in 3D ICs. AI-driven approaches, such as TA3D, employ graph neural networks (GNNs) and the algorithm for timing-aware partitioning, balancing cells across dies without 2D placement dependency and achieving up to 40% improvement in worst negative slack, alongside reductions in half-perimeter wire length and power. Recent advancements as of 2025 include AI-enhanced flows for UCIe-based designs, such as those from and collaborations, further optimizing critical paths and via placement for multi-die systems in AI and .

Historical development

Early demonstrations (1960s–2000s)

The concept of three-dimensional integrated circuits (3D ICs) emerged in the late with early vertical integration ideas, building on foundational patents for stacking semiconductor layers to increase density beyond planar limits. In , researchers like K. Onoda proposed concepts for multilayer silicon structures, laying the groundwork for future demonstrations, though practical prototypes remained elusive until the . Japan led early 3D IC research through the "Three Dimensional Very Large Scale Integration" project initiated in 1981, focusing on stacking to overcome two-dimensional scaling constraints. In 1984, demonstrated the first stacked 3D IC, featuring a fabricated across two bonded wafers with vertical interconnections, marking a pivotal for integration. This effort involved basic and through-silicon vias (TSVs), with filing the first TSV in 1983 for high-density vertical connections in stacked chips. followed in 1984 with a for TSV-based stacked structures, achieving initial pitches around 100 μm in that enabled rudimentary between layers. efforts from 1983 to the mid-2000s emphasized stacked memory, with companies like and exploring multilayer DRAM and logic-memory hybrids, though early yields often fell below 50% due to bonding defects and via reliability issues. In , research gained momentum in the late 1980s, with institutions like advancing techniques for heterogeneous stacking. 's early work in the 1990s built on 1980s concepts, demonstrating SOI layer transfers by 2005 to enable monolithic trials with improved alignment and thermal management. and contributed to regional prototypes in the 1990s, focusing on low-temperature bonding for multilayer systems. In the , launched the program in 1999 under the HERETIC initiative (1999–2001), funding MCMs with diamond substrates for high-heat-flux applications and exploring TSVs for multichip stacks. advanced stacked memory demonstrations in the late 1990s, culminating in a prototype in 2007 that used vertical channels to boost density, though limited to research-scale with initial via pitches exceeding 50 μm. These milestones highlighted 3D IC potential for performance gains but underscored challenges like alignment precision and yield limitations in pre-commercial eras.

Commercialization (2000s–present)

The commercialization of three-dimensional integrated circuits (3D ICs) began in the early , initially focusing on memory stacking to address scaling limitations in planar semiconductors. One of the earliest market products was Sony's (PSP), released in 2004, which incorporated a 2-layer stacked module using through-silicon vias (TSVs) for higher and compactness in portable gaming. This marked the first widespread consumer adoption of 3D stacking technology, enabling denser integration without increasing the device's footprint. By the 2010s, advancements shifted toward NAND flash memory, with introducing its 32-layer 3D V-NAND in 2013, a vertical stacking approach that overcame planar NAND's density constraints and improved endurance for solid-state drives. This innovation rapidly scaled storage capacities, contributing to the explosion of affordable high-capacity SSDs in and data centers. Concurrently, high-bandwidth memory (HBM) emerged as a key 3D IC milestone; launched HBM1 in 2013, featuring 3D-stacked dies interconnected via TSVs, which provided up to 128 GB/s per stack for graphics processing units (GPUs). The 2020s saw broader integration into logic and architectures, driven by performance demands in . AMD's chiplet-based Zen architecture, starting with Zen 2 in 2019, evolved to include 3D V-Cache technology in select models beginning in 2022 with Zen 3 processors, stacking up to 64 MB of L3 cache on the CPU core and delivering approximately a 15% performance uplift in cache-sensitive workloads like and simulations compared to non-stacked variants. This further advanced in Zen 4. In memory, Micron announced its 232-layer 3D NAND flash in 2022, enhancing storage density for enterprise and mobile applications while reducing power consumption. Recent developments from 2023 to 2025 have emphasized for (HPC) and . TSMC's 3DFabric platform, including the CoWoS-R introduced in 2024, enables stacking of and dies for AI accelerators, supporting larger interposers up to 3x the size of previous generations to handle massive GPU clusters. Intel advanced its Foveros with 2.5D in 2025, enabling finer-pitch die-to-die bonding for improved efficiency in CPUs targeting laptops and servers. In HPC, Nvidia's Grace CPU Superchip, launched in 2023 with availability in 2024, utilizes NVLink-C2C interconnects for coherent pooling across CPU-GPU stacks, accelerating training workloads. These efforts reflect an industry shift from memory-centric ICs to , with companies like Apple exploring stacking in M-series chips for 2025 using TSMC's SoIC for enhanced performance and thermal management in Macs and iPads, as reported in mid-2025. Yield rates for IC manufacturing have also improved to over 90% in mature processes as of 2025, facilitated by refined TSV and bonding techniques, enabling economic viability at scale.

Applications

In computing and memory

Three-dimensional integrated circuits (3D ICs) play a pivotal role in advancing and systems by enabling vertical stacking of components, which reduces interconnect lengths, boosts , and increases for high-performance applications. In processors and memory hierarchies, 3D ICs address limitations of traditional layouts, such as and power consumption, particularly in data-intensive environments like servers and GPUs. This integration supports faster data access and higher throughput, making it essential for modern workloads. In memory technologies, 3D ICs facilitate stacked architectures that dramatically enhance storage capacity and efficiency. Samsung's 9th-generation V-NAND, entering mass production in 2024, employs over 200 layers in a vertical stacking configuration, achieving 1 Tb per die and approximately 50% higher bit compared to the prior generation. This approach, using double-stack bonding, allows for greater in devices without expanding die size, supporting hyperscale needs. Similarly, high-bandwidth memory (HBM) leverages 3D (TSV) stacking for GPU memory. SK Hynix's HBM3E, introduced in 2024, delivers up to 1.2 TB/s bandwidth per 12-high stack at 9.6 GT/s pin speeds, enabling superior performance in and rendering by minimizing data movement bottlenecks. For processors, 3D ICs enhance on-chip to improve hit rates and reduce in compute-intensive tasks. AMD's 9004X series processors, launched in , integrate 3D V-Cache technology, stacking additional L3 layers vertically on core chiplets to provide up to 96 MB of L3 per die and 1,152 MB per . This configuration yields significant gains in bandwidth-bound workloads, such as simulations and , by keeping more data closer to the cores. In heterogeneous systems-on-chip (SoCs), 3D stacking supports integration of diverse elements like logic and I/O, as seen in advanced mobile and processors where vertical assembly optimizes and power delivery. In data centers, 3D ICs enable (HPC) stacks tailored for training and exascale simulations, where bandwidth limitations are critical. By vertically integrating and , these structures reduce off-chip communication delays and support terabyte-scale flows, as demonstrated in GPU-accelerated systems using HBM stacks. For instance, 3D cache enhancements in server CPUs like AMD EPYC contribute to up to 40% performance uplifts in inference by improving data locality and interconnect efficiency. Overall, these applications underscore 3D ICs' role in scaling computing infrastructure for petascale and scientific computing demands.

In emerging technologies

Three-dimensional integrated circuits (3D ICs) are increasingly vital in (AI) and (HPC), where they enable compact, high-density accelerators for workloads. Graphcore's Bow Intelligence Processing Unit (IPU), introduced in 2022, employs wafer-on-wafer 3D stacking to integrate multiple processor tiles vertically, delivering 350 tera operations per second (TOPS) for AI inference with 40% greater energy efficiency over prior generations. This supports scalable ML inference by minimizing interconnect latency and power draw in data centers and edge deployments. Similarly, Qualcomm's Snapdragon platforms for edge AI incorporate 3D stacking in advanced , including silicon bridges and through-silicon vias, to achieve power-efficient processing for on-device AI tasks such as real-time . In the automotive sector, 3D ICs facilitate stacks essential for advanced driver-assistance systems (ADAS), integrating diverse s like cameras, , and to enhance reliability under varying conditions. Heterogeneous 3D integration allows stacking of logic, , and interfaces, reducing signal propagation delays and improving for safety-critical applications. For (IoT) and applications, ICs enable compact radio-frequency (RF) and antenna stacks, particularly in millimeter-wave (mmWave) modules that support high-data-rate connectivity in space-constrained devices. These vertically integrated structures combine antennas, amplifiers, and transceivers, achieving significant size reductions—up to board-level minimization—while maintaining low propagation losses. In biomedical implants, monolithic ICs integrate sensors directly with processing layers, as seen in origami-inspired designs that fold dies for ultra-compact neural recording and stimulation, addressing size constraints in implantable devices for chronic monitoring. Emerging trends in hybrid 3D ICs extend to , where light-detection layers are stacked with electronic circuits to boost efficiency in edge devices. Demonstrations in 2024–2025 showcase 3D photonic-electronic integration using low-capacitance vertical interconnects, enabling photodetectors and modulators in dense arrays that reduce energy consumption for data links by orders of magnitude compared to traditional CMOS-based systems, with potential gains exceeding 10x in tasks. This hybrid approach supports applications in AI-accelerated sensors and biomedical , where seamless optoelectronic stacking enhances and power savings.

Future outlook

Technological advancements

Recent advancements in materials for three-dimensional integrated circuits (3D ICs) have focused on integrating beyond-silicon options to enhance performance in upper layers, particularly through two-dimensional (2D) materials such as molybdenum disulfide (MoS₂). In 2024, researchers demonstrated wafer-scale two-tier 3D integration using MoS₂ field-effect transistors, achieving vertical stacking with improved carrier mobility and reduced interconnect lengths compared to traditional silicon-based approaches. Similarly, trials in late 2024 explored 2D materials for neuromorphic hardware, enabling dense 3D stacking that supports energy-efficient computing by leveraging atomic-scale thickness for monolithic integration. These efforts build on 2025 projections for 2D materials like MoS₂ and graphene in monolithic 3D setups, which promise reduced processing times and higher integration densities. To address in through-silicon vias (TSVs), low-k have been refined for better insulation, minimizing and stress in high-aspect-ratio structures. For instance, conformal low-k liners deposited via fluorocarbon-assisted (FACVD) enable in TSVs with aspect ratios exceeding 10:1, reducing while maintaining mechanical reliability. Polyimide-based low-k liners have also shown promise, achieving low in blind TSVs and lowering the risk of interlayer by up to 26% in reduction. Process innovations emphasize modular assembly through chiplet-based 3D integration, standardized by the Universal Chiplet Interconnect Express (UCIe) protocol released in 2023. UCIe facilitates high-bandwidth, low-latency die-to-die connections in heterogeneous stacks, supporting power-efficient 3D system-in-packages with bandwidths exceeding 1 Tb/s per link. The UCIe 1.1 specification, updated in August 2023, further enables sub-5 μm pitches in chiplet ecosystems, promoting scalability across foundries. Complementing this, advanced bonding techniques like room-temperature hybrid bonding have achieved interconnections at pitches below 5 μm, using surface-activated copper/silicon dioxide methods to eliminate high-temperature annealing. These processes, demonstrated with 2.5 μm pads on 200 mm wafers, support thermal budgets as low as 150°C, enhancing yield in fine-pitch 3D IC fabrication. Architecturally, complementary (CFET) designs are being researched to advance monolithic 3D ICs at nodes beyond 2 nm, such as sub-1 nm (A7), with demonstrations in and potential production in the late 2020s. Major foundries like and are developing CFETs to stack n-type and p-type channels vertically to double density while reducing footprint by up to 40% compared to gate-all-around nanosheet FETs. Imec's demonstrations of double-row CFET standard cells for the A7 (sub-1 nm) node highlight compatibility with existing . In parallel, 3D optical interconnects are emerging to surpass electrical limits, enabling bandwidth densities over 10 TB/s/mm² in heterogeneous photonic-electronic platforms. These integrate interposers with through-silicon vias, achieving Tb/s-scale data rates for applications while reducing power consumption by integrating active components on both sides. In November 2025, announced expanded wafer-scale 3D-IC capabilities for co-packaged optics, enhancing integration for applications. Ongoing research and development efforts are targeting power efficiency through innovative cooling solutions, such as graphene-based thermal interface materials (TIMs), which can reduce thermal resistance in IC stacks by 20-30%. High-density 3D-graphene foams serve as TIMs, improving heat dissipation in stacked dies and enabling up to 30% better cooling performance over conventional materials. These advancements, part of broader European initiatives under , focus on integrating such materials into / architectures to address hotspots in .

Market projections

The global 3D IC market was valued at USD 17.13 billion in 2024 and is projected to reach USD 48.27 billion by 2032, expanding at a (CAGR) of 13.9% from 2025 to 2032. This robust growth is primarily driven by surging demand in (AI) and (HPC) sectors, which are key drivers and anticipated to command a substantial share of the market, mirroring their projected 40% in the broader market by 2030 due to the need for enhanced and performance density. Additional key drivers include the rollout of and emerging networks, as well as the proliferation of sophisticated electronics in electric vehicles (EVs), where 3D ICs enable compact, efficient and sensor integration. Adoption of ICs is led by applications, particularly high-bandwidth (HBM) stacking, which accounted for 41% of the market in 2024 and is expected to continue growing due to its critical role in data-intensive tasks. Logic integration is accelerating, with currently around 70% of advanced /HPC chips incorporating some form of structures for improved interconnect efficiency, a trend expected to continue. Major players like and are leveraging ICs, hybrid bonding, and designs in their next-generation processors to achieve significant performance improvements, focusing on meeting escalating computational demands. Despite these opportunities, barriers such as elevated manufacturing costs—currently a 20-30% over traditional ICs—persist, though are forecasted to reduce this to under 10% by 2030 as fabrication yields improve. The supply chain remains concentrated, with dominating advanced packaging capacity and as a key player, together holding a significant portion of the market, enabling rapid scaling but also posing risks from geopolitical tensions and material shortages.

References

  1. [1]
    Three-Dimensional Integrated Circuit (3D IC) Key Technology - NIH
    Jan 19, 2017 · Since TSV provides the advantages of shortening interconnection paths and thinner package size, it is considered as the heart of 3D integration.
  2. [2]
    [PDF] Three-dimensional Integrated Circuits: Design, EDA, and Architecture
    In this article, we first give a brief introduction on the 3D integration technology, and then review the EDA challenges and solu- tions that can enable the ...
  3. [3]
    None
    ### Summary of 3D-IC from the Paper
  4. [4]
    [PDF] Three-Dimensional Integrated Circuits
    Overview of Device Scaling ... 17-20, November 2011. –. E. Salman, "Noise Coupling Due to Through Silicon Vias (TSVs) in 3D Integrated Circuits," Proceedings of ...
  5. [5]
    What is 3D-IC Technology & Design - Synopsys
    A 3D-IC is a three-dimensional integrated circuit (IC) built by vertically stacking different chips or wafers together into a single package.
  6. [6]
    [PDF] 3-D ICs: a novel chip design for improving deep-submicrometer ...
    Continuous scaling of VLSI circuits is reducing gate delays but rapidly increasing interconnect delays. The International Tech- nology Roadmap for ...
  7. [7]
    3D ICs - Semiconductor Engineering
    The access mechanism connects only to the bottom die. The classic definition that has emerged for 3D ICs is logic on logic, connected with through-silicon vias ...
  8. [8]
    Interconnect - Semiconductor Industry Association
    3D-INTERCONNECT TECHNOLOGY DEFINITIONS​​ This is clear for integrated circuits which have well defined local, intermediate and global interconnect layers, ...
  9. [9]
    [PDF] international technology roadmap
    Interconnects are on the global or intermediate on-chip interconnect levels. The 3D stack is characterized by a sequence of alternating front-end (devices) and ...
  10. [10]
    None
    ### Latency Reduction in 3D ICs vs. 2D ICs
  11. [11]
  12. [12]
    [PDF] 1 3D IC Integration Since 2008 - Wiley-VCH
    Mar 31, 2014 · In Figure 1.3, we compare system-on-chip (SoC), 3D packaging, and 3D IC with through-silicon via (TSV) in various performance categories [5].
  13. [13]
    [PDF] 3D Fabrication Options for High-Performance CMOS Technology
    from 3D packaging to high-density 3D integrated circuit (IC) implementations. ... gent as in the 3D IC case (which will be discussed later). An alignment ...
  14. [14]
    Enabling Test Strategies For 2.5D, 3D Stacked ICs
    Sep 8, 2022 · 3D-ICs use hybrid bonding, which involves die-to-wafer or wafer-to-wafer connections that deliver up to 1,000X more connections per unit area ...
  15. [15]
    The Age of Hybrid Bonding: Where We Are and Where We're Going
    Jul 11, 2023 · There are currently three approaches to hybrid bonding: wafer-to-wafer (W2W), one-by-one die-to-wafer (D2W), and collective D2W. With W2W ...
  16. [16]
    (PDF) Wafer-to-Wafer Alignment for Three-Dimensional Integration
    Aug 9, 2025 · This paper provides a comprehensive review of current research activities over wafer-to-wafer alignment, including alignment methods, accuracy ...
  17. [17]
    [PDF] Propagation Delay Analysis in 3D Stacked Memory Using Novel ...
    Wafers are typically thinned down to 50µm by grinding and lapping followed by etching and Chemical Mechanical Polishing (CMP). Wafer thinning up-to 6µm has been ...
  18. [18]
    Scaling the Memory Wall: The Rise and Roadmap of HBM
    Aug 11, 2025 · HBM is high bandwidth because it has a much wider memory bus of 1024 bits compared to other forms of DRAM with 64 bits (16x the I/O). The ...Missing: details | Show results with:details
  19. [19]
    AMD 3D V-Cache™ Technology
    Innovative 3D stacking technology enables faster AMD Ryzen™ gaming processors and high performing AMD EPYC™ server processors for technical computing.
  20. [20]
    3 Ways 3D Chip Tech Is Upending Computing - IEEE Spectrum
    Mar 16, 2022 · That translates to computers that train neural nets up to 40 percent faster with as much as 16 percent less energy compared to its previous ...
  21. [21]
    CoolCube™: More than a True 3D VLSI Alternative to Scaling
    Mar 28, 2019 · CoolCube is Leti's 3D sequential integration concept, stacking transistors with nanometer resolution, requiring low temperatures for stability.Missing: features CFET
  22. [22]
    Monolithic 3D Chips - Semiconductor Engineering
    Monolithic 3D integration involves a process of stacking, aligning and connecting leading-edge transistors on top of each other to form a monolithic 3D chip.Missing: CoolCube | Show results with:CoolCube
  23. [23]
    [PDF] Design Automation and Testing of Monolithic 3D ICs
    M3D of- fers key advantages over TSVs, including (1) orders-of-magnitude smaller inter-tier vias, (2) no need for high alignment accuracy,. (3) finer-grained ...Missing: CFET | Show results with:CFET
  24. [24]
    Formation techniques for upper active channel in monolithic 3D ...
    Jan 29, 2024 · Monolithic 3D (M3D) integration provides a notable benefit in achieving a higher connection density between upper and lower device layers than ...Missing: definition key features CFET
  25. [25]
    First Monolithic Integration of 3D Complementary FET (CFET) on ...
    We report the first monolithic integration of 3D Complementary Field Effect Transistor (CFET) on 300mm wafers using imec's N14 platform.Missing: IC LETI
  26. [26]
    Building CFETs With Monolithic And Sequential 3D
    Feb 22, 2024 · Stacking of nMOS on top of pMOS devices is possible using monolithic or sequential flows. Each has its pros and cons.
  27. [27]
    Monolithic 3D Shows Promise, Challenges - EE Times
    Monolithic 3D integration shows promise as a way to create faster, cheaper, smaller chips. But despite interest from ...Missing: definition key features CFET
  28. [28]
    [PDF] Cost and Yield Comparison of Wafer-to-Wafer, Die-to-Wafer, and ...
    In addition to the fact that wafer-to-wafer bonding maintains the highest throughput of the three process flows, it also allows for the most accurate alignment ...Missing: variants | Show results with:variants
  29. [29]
    [PDF] Three Dimensional Integrated Circuit (3d IC): An Overview
    Thinning refers to a process step that thins the wafer with the TVSs to a thickness ranging from few tens of micrometers to a few hundred micrometers. This is ...
  30. [30]
    Wafer-to-wafer hybrid bonding - IMEC
    Feb 19, 2024 · Wafer-to-wafer hybrid bonding is an attractive 3D integration technology for stacking multiple heterogeneous chips with high 3D interconnect density.
  31. [31]
    Wafer-level bonding/stacking technology for 3D integration
    The leading wafer-level bonding techniques used in 3D integration include adhesive bonding (polymer bonding), metal diffusion bonding, eutectic bonding, and ...
  32. [32]
    Cu-Based Thermocompression Bonding and Cu/Dielectric Hybrid ...
    Sep 4, 2023 · Hybrid bonding is a key technology for 3D ICs, enabling fine-pitch bonding of different materials such as Cu/dielectric and Cu/polymer. However, ...
  33. [33]
    Hybrid Bonding Plays Starring Role in 3D Chips - IEEE Spectrum
    Aug 11, 2024 · Hybrid bonding starts with two wafers or a chip and a wafer facing each other. · The wafers are pressed together to form an initial bond between ...
  34. [34]
    Samsung developing 400-plus-layer 3D NAND - Blocks and Files
    Dec 4, 2024 · “WF-Bonding” refers to wafer-to-wafer bonding, in which two separate NAND wafers, on which cells and/or circuits have been fabricated, are ...Missing: method | Show results with:method
  35. [35]
    TSMC-SoIC® - Taiwan Semiconductor Manufacturing Company ...
    SoIC-X wafer-on-wafer technology creates heterogeneous and homogeneous 3D silicon integration through a wafer stacking process. The tight bonding pitch and ...
  36. [36]
    Tutorial on forming through-silicon vias - AIP Publishing
    Apr 14, 2020 · Via-first, -middle, or -last designation describes TSV integration before CMOS front-end of line (FEOL) processes, in the middle of the full ...
  37. [37]
  38. [38]
    An overview of through-silicon-via technology and manufacturing ...
    Mar 5, 2015 · There are multiple approaches to manufacture TSVs. TSVs can be formed from the frontside using via-first, via-middle, or via-last processes (Fig ...Introduction · 3d Integration Options · Wafer Backside Processing<|separator|>
  39. [39]
    An analytical model of thermal mechanical stress induced by ...
    In this paper, we present an accurate TSV thermal stress analytical model based on the Lamé stress solution, and verify its accuracy with the FEM.
  40. [40]
    Intel Foveros Wiki - SemiWiki
    Jul 16, 2025 · The logic die is bonded face-to-face on top of the base die using hybrid bonding. Power and signal routes pass vertically via fine-pitch micro- ...
  41. [41]
  42. [42]
    [PDF] Lecture 4: Interconnect RC
    Nov 4, 1997 · Delay of a single long wire in an integrated circuit is proportional to the square of the length because both the resistance and capacitance ...
  43. [43]
    3D IC Integration: 3 C teg at o
    Mar 10, 2010 · lowest inductance, lowest resistance and the most plentiful. Higher density g y g y. Shorter Connections. Reduced RC Delays. Better heat ...
  44. [44]
    2024 irds executive packaging tutorial—part 1
    This design results in signal propagation delays being reduced due to shorter lengths of interconnections (from cm to mm). Discussions about further reducing ...
  45. [45]
    3D processor-memory mashups take center stage - The Register
    Feb 24, 2012 · A 3D System Prototype of an eDRAM Cache Stacked Over Processor-Like Logic Using Through ... frequency of 2GHz, resulting in a data ...
  46. [46]
  47. [47]
    [PDF] A PPA Study for Heterogeneous 3-D IC Options: Monolithic, Hybrid ...
    In microbumping 3-D ICs, two dies are stacked vertically with a dense array of microbumps in a face-to-face (F2F) fashion, which provides high yield and ...Missing: definition thinning
  48. [48]
    Samsung reportedly achieves technical breakthrough, stacking 3D ...
    May 28, 2024 · Samsung Electronics has successfully stacked the next-gen 3D DRAM to 16 layers, twice as many as its competitor Micron.
  49. [49]
    3D integration: IC stacking to extend scaling - IMEC
    Direct bonding of dies to silicon is also possible using hybrid copper bonding. ... bonding with a Cu interconnect pad pitch of 2µm. PR D2W hybrid bonding. Cross ...
  50. [50]
    3D-IC Technology Benefits and Overview - Cadence
    3D-IC is built by wafer-on-wafer or chip-on-wafer stacking on a single package interconnected using through-silicon vias (TSVs). 3D-ICs promise “more than Moore ...<|control11|><|separator|>
  51. [51]
    [PDF] P/G TSV Planning for IR-drop Reduction in 3D-ICs - CDNC/KIT
    Using 3D integration with TSVs, the interconnec- tion length between vertically stacked ICs can be significantly reduced compared to traditional 2D-ICs.
  52. [52]
    [PDF] 3D Integrated Circuit (3D IC) Technology and Its Applications - SUAS
    This vertical integration allows for shorter interconnects, leading to reduced signal delay and power consumption.[5] The primary benefits of 3D ICs include.<|control11|><|separator|>
  53. [53]
    3D Partitioning with Pipeline Optimization for Low-Latency Memory ...
    Further, going from 2D to 3D allows more than 40% total system wire-length reduction & 10% less cell area, resulting in 20% power savings. These findings hold ...
  54. [54]
    [PDF] itrs 2.0 heterogeneous integration chapter: 2015
    The first high volume 3D SiP was for wide I/O memory modules. The advantages realized of increased bandwidth and reduced power through use of TSVs, copper ...
  55. [55]
    Heterogeneous and Monolithic 3D Integration Technology for Mixed ...
    Thus, in this paper, we present our recent research on the M3D integration of RF devices on Si CMOS circuits and InGaAs photodetectors on Si bottom FETs for ...Missing: CFET | Show results with:CFET
  56. [56]
    [PDF] Leveraging 3D Technologies for Hardware Security - arXiv
    3D tech can improve hardware security by shielding side-channel info, using split fabrication, circuit camouflage, and 3D IC-based security processing-in- ...Missing: paths | Show results with:paths
  57. [57]
    Thermal - Heterogeneous Integration Roadmap, 2023 Version
    Mar 2, 2023 · These challenges are part of the electrical, mechanical and thermal co- design of embedded cooling in a 3D chip stack. 2.4 Thermal challenges in ...
  58. [58]
  59. [59]
  60. [60]
  61. [61]
    [PDF] Critical Issues of TSV and 3D IC Integration
    The biggest difference between 3D IC pack- aging and 3D IC/Si integrations ... Chuan, “3D packaging with through silicon via (TSV) for electrical and ...
  62. [62]
    TSV Manufacturing Yield and Hidden Costs for 3D IC Integration
    Aug 9, 2025 · These additional fabrication stages introduce mechanical strains within the IC, causing major concerns surrounding yield and reliability [12] . ...Missing: expenses | Show results with:expenses
  63. [63]
    [PDF] 17 Challenges and Future Directions of 3D Physical Design
    Compared to classical 2D chips, a 3D-IC is a much more complex system; related design algorithms and simulation and verification have to account for complex ( ...
  64. [64]
    [PDF] Toward Advancing 3D-ICs Physical Design: Challenges and ...
    Jan 23, 2025 · Future research is needed to develop more native 3D IC algorithms, such as heterogeneous-aware partitioning algorithms, ad- vanced thermal-aware ...
  65. [65]
    None
    ### Summary of Testing Approaches for 3D ICs
  66. [66]
    Testing The Stack: DFT Is Ready For 3D Devices
    Sep 8, 2022 · Well-covered strategies include using high-quality known-good-die (KGD) testing for dies that will be assembled in a 3D stack. KGD test ...Missing: probing fault overhead
  67. [67]
    (PDF) Verification Challenge in 3D Integrated Circuits (IC) Design
    Feb 6, 2025 · The sheer amount of components and interactions increases verification time and effort. ... EDA tools specifically for 3D IC design. with robust ...
  68. [68]
    [PDF] Design and CAD Methodologies for Low Power Gate-level ...
    This paper focuses on gate-level monolithic 3D ICs because they offer the reuse of existing standard cells and memory, zero total silicon area overhead (unlike ...
  69. [69]
    [PDF] Enabling Area Efficient RF ICs through Monolithic 3D Integration
    The M3D integration technology consists of tiers of devices fabricated one upon the other in a sequential manner. For example in a two-tier configuration, a ...Missing: RFICs | Show results with:RFICs
  70. [70]
    [PDF] Block-level Designs of Die-to-Wafer Bonded 3D ICs and Their ...
    In this paper, we study block-level 3D-IC designs, where the footprint of the dies in the stack are different. This happens in case of die-to-wafer bonding,.
  71. [71]
    3D-SOC design and backside interconnects - IMEC
    Dec 11, 2021 · ... 40% higher operating frequency compared to a 2D design.
  72. [72]
    Exploring the Significance of 3D-SOC and 3D-IC in Cutting-Edge 3D ...
    Oct 30, 2023 · 3D-SOC takes this concept to new heights by stacking multiple SOC chips vertically. The image below illustrates the transformation of a 2D ...
  73. [73]
    Floorplanning for 3-D VLSI design - ResearchGate
    In this paper, we present a floorplanning algorithm for 3D ICs. The problem can be formulated as that of packing a given set of 3D rectangular blocks while ...
  74. [74]
    [PDF] Wire Congestion And Thermal Aware 3D Global Placement
    First, we use a multi-level min-cut placement with a modified gain function for local wire congestion and dynamic power consumption reduction. Second, we ...Missing: vertical | Show results with:vertical
  75. [75]
    Yield enhancement techniques for 3-dimensional random access ...
    That is, the concept of inter-tier redundancy can be exploited to further increase the yield of 3D memories. Die-to-die and die-to-wafer bonding can be adopted.
  76. [76]
    [PDF] Power-Performance Study of Block-Level Monolithic 3D-ICs ...
    In this paper we study the power vs. performance tradeoff in block- level monolithic 3D IC designs. Our study shows that we can close the power-performance gap ...
  77. [77]
    3DIC Compiler: Platform for Multi-Die Designs | Synopsys
    Synopsys 3DIC Compiler platform enables efficient multi-die/advanced package co-design and optimization for 2.5D and 3D multi-die designs.
  78. [78]
    Cadence 3D-IC Design | Proven Design Flows for Multi-Chiplet ...
    Cadence 3D-IC design solutions include IP and tools for thermal management, test, signoff and analysis flow for digital SoCs, and entire systems.Missing: aware | Show results with:aware
  79. [79]
    Ansys Redhawk-SC | IC Electrothermal Simulation Software
    Ansys Redhawk-SC Electrothermal solves multiphysics power integrity, signal integrity and thermal and mechanical stress equations for 2.5D/3D IC systems.Electrical, Thermal And... · Quick Specs · Speeding 5g Network...
  80. [80]
    Scan chain design for three-dimensional integrated circuits (3D ICs)
    In this paper, we study the scan chain construction for 3D ICs, examining the impact of 3D technologies on scan chain ordering.
  81. [81]
    Ansys 3D-IC
    The Ansys 3D-IC solution is a solver toolset that captures electromagnetic, thermal and structural effects of chip activity individually.
  82. [82]
    MAX-3D TSV Placer - Micro Magic
    Automatic TSV Placement. MAX-3D TSV Placer is a simple-to-use, powerful tool for automatic TSV location, optimization and placement.
  83. [83]
    Concurrent optimisation method for three‐dimensional power ...
    Jul 1, 2016 · Power wires and power bumps or power through-silicon-vias (TSVs) are the two major factors that affect the voltage as the IR-drop of 3D ICs.
  84. [84]
    [PDF] Achieving Successful Timing, Power, and Physical Signoff for Multi ...
    The extracted parasitics are used by Synopsys PrimeTime™, the gold standard in static timing analysis and the Synopsys PrimePower full-chip design power ...
  85. [85]
    TA3D: Timing-Aware 3D IC Partitioning and - ACM Digital Library
    Sep 11, 2024 · By using the Leiden algorithm and unsupervised GNN, we achieve optimal partitioning without relying on the results of 2D placement or additional ...
  86. [86]
    Research and Development History of Three-Dimensional ...
    Vertical integration concepts were first introduced in late 60s and followed by the demonstration of chips in late 70s and early 80s K. Onoda (1969); Morihiro ( ...Missing: 1980s | Show results with:1980s
  87. [87]
    Microsystems using three-dimensional integration and TSV ...
    Apr 1, 2019 · However, 3D stacking of CMOS chips was not realized until 1984, when NEC reported a loop ring oscillator fabricated on two wafers which were ...
  88. [88]
    Through-silicon via - Wikipedia
    A 3D integrated circuit (3D IC) is a single integrated circuit built by stacking silicon wafers and/or dies and interconnecting them vertically so that they ...
  89. [89]
    Three Decades of Thermal Management Research at DARPA
    Jul 16, 2025 · 3D architecture was used to reduce interconnection distances between multiple chips. Program heat removal by thermo integrated circuits (HERETIC) ...
  90. [90]
    Samsung Electronics Begins Industry's First Mass Production of 9th ...
    Apr 22, 2024 · Industry-leading bit density with about 50% increase compared to previous generation. Productivity for the V-NAND's groundbreaking double-stack ...
  91. [91]
    SK Hynix says new high bandwidth memory for GPUs on track for ...
    Feb 2, 2024 · With a 9.6 GT/s data transfer rate, a single HBM3E memory stack can offer a theoretical peak bandwidth of 1.2 TB/s, translating to a whopping 7 ...
  92. [92]
    [PDF] WRF® with AMD 3D V-Cache™ Technology
    AMD 3D Chiplet architecture stacks L3 cache tiles vertically to provide up to 96MB of L3 cache per die (and up to 1152. MB L3 Cache per socket) while still ...
  93. [93]
    3 Ways 3D Chip Tech is upending Computing - IEEE Spectrum
    AI supercomputer startup Graphcore used some TSMC 3D chip-stacking tech to improve the flow of power to its chip in a way that could lead to a 40 percent speed ...
  94. [94]
    Bow IPU Processors - Graphcore
    The IPU is the first processor in the world to use Wafer-on-Wafer (WoW) 3D stacking technology, taking the proven benefits of the IPU to the next level.Missing: 2024 inference
  95. [95]
    AI Inference Chips Latest Rankings: Who Leads the Race? - Uvation
    Jul 11, 2025 · Graphcore Bow IPU: Uses 3D stacking technology to deliver 350 TOPS, claiming 40% higher efficiency than previous IPUs, which makes it suitable ...
  96. [96]
    Semiconductor challenges in ramping AI at the edge
    The Evolution of Edge-AI Applications Running on Qualcomm Snapdragon. 2G ... Device level DTCO for energy-efficient computing at scaled area. 2020. 2023.
  97. [97]
    Heterogeneous Integration Roadmap Chapter 5: Automotive
    Jun 19, 2019 · 5.1 ADAS. With the implementations of Advanced Driver-Assistance Systems (ADAS) and Sensor Fusion that will enable various levels of autonomy ...
  98. [98]
    How Tesla's Dojo Supercomputer is Revolutionizing AI Training for ...
    Jun 18, 2025 · Built-in HBM3 memory stacks achieve 2 TB/s memory bandwidth, crucial ... In this section, I'll dive into Tesla's data pipeline innovations and how ...Missing: HBM 3D
  99. [99]
    Capacitive proximity communication with distributed alignment ...
    Abstract: Origami implant design is a 3D integration technique which addresses size and cost constraints in biomedical implants.
  100. [100]
    Three-dimensional photonic integration for ultra-low-energy, high ...
    Mar 21, 2025 · Here we present a solution to this long-standing overhead through dense three-dimensional (3D) integration of photonics and electronics.
  101. [101]
    High-efficiency reinforcement learning with hybrid architecture ...
    Feb 5, 2024 · We propose a universal framework that utilizes a photonic integrated circuit (PIC) to simulate the interactions in RL for improving the algorithm efficiency.
  102. [102]
    Three-dimensional integration of two-dimensional field-effect ...
    The research achieved wafer-scale two-tier 3D integration with MoS2, a three-tier chip using MoS2 and WSe2, and a vertically connected 3D circuit.
  103. [103]
    2D materials-based 3D integration for neuromorphic hardware
    Nov 4, 2024 · Inspired by the human brain, neuromorphic computing integrates memory and processing units to improve speed and energy efficiency. It has been ...
  104. [104]
  105. [105]
    Conformal isolation of high-aspect-ratio TSVs using a low-κ ...
    This work highlights FACVD as a promising technique to allow the deposition of conformal dielectric thin films within High-Aspect-Ratio TSVs.
  106. [106]
    Low capacitance and highly reliable blind through-silicon-vias ...
    Aug 18, 2016 · Finite element analysis results show that TSVs with polyimide dielectric liner are with lower risks in SiO2 interlayer dielectric (ILD) fracture ...
  107. [107]
    [PDF] Application of Low-k Liner for Stress and Capacitance Control in Cu
    Since the low-k liner has an effective dielectric constant of ~2.8, it is found that the integration of the low-k liner reduces the TSV capacitance by ~26% as ...
  108. [108]
    Specifications | UCIe Consortium
    The UCIe specification details the complete standardized Die-to-Die interconnect with physical layer, protocol stack, software model, and compliance testing.Missing: 2023 | Show results with:2023
  109. [109]
    High-performance, power-efficient three-dimensional system-in ...
    Feb 19, 2024 · We report a die-to-die solution for the continuum of package bump pitches down to 1 μm, providing circuit architecture details and performance results.Missing: global | Show results with:global
  110. [110]
    Intel and Cadence Collaboration on UCIe
    Aug 2, 2024 · A new updated UCIe 1.1 specification was released on August 8, 2023. The standardized open chiplet standard allows for heterogeneous ...
  111. [111]
    (PDF) Surface activated Cu/SiO 2 hybrid bonding for room ...
    Aug 10, 2025 · Surface activated Cu/SiO 2 hybrid bonding for room temperature 3D integration ... 5 µm pitch interconnections at ambient temperature. This ...
  112. [112]
    [PDF] SAB-enabled room temperature hybrid bonding - HAL
    Jun 11, 2024 · This study uses 200 mm silicon wafers with 2.5 µm-diameter copper pads spaced. 2.5 µm apart (5 µm pitch) in a silicon oxide matrix, as presented ...
  113. [113]
    Enabling High-Density Interconnects for Heterogeneous Integration
    May 12, 2025 · Renaud et al. further realized SAB hybrid bonding at room temperature with a pitch width of only 5 μm and a thermal budget as low as 150 °C ( ...
  114. [114]
    Intel, Samsung, TSMC 'Getting Serious' About CFET - EE Times
    Samsung was the first to introduce nanosheet/gate all around architecture at the 3-nm node in 2022. TSMC will introduce nanosheet with its 2-nm node in 2025, ...Missing: monolithic | Show results with:monolithic
  115. [115]
    Imec shows double row CFET standard cell for A7 process node ...
    Dec 7, 2024 · Intel, TSMC and Samsung are currently pushing their processes to 1.8nm (18A) and 1.6nm (16A) with gate all around transistors (which Intel ...
  116. [116]
    3D Electronic-Photonic Heterogenous Interconnect Platforms ... - arXiv
    Oct 4, 2025 · A 3D heterogeneously integrated hybrid electronic-photonic interconnect platform, integrated over a silicon active optical interposer, can ...Missing: demos | Show results with:demos
  117. [117]
    3D Silicon Photonics Interposer for Tb/s Optical Interconnects in ...
    In this paper, we present the concept, fabrication, process and packaging of a 3D Si photonics interposer. This Si photonics interposer merges passive ...
  118. [118]
    [PDF] High-Density 3D-Boron Nitride and 3D-Graphene for ... - SciSpace
    ... TIMs has shown improved cooling performance by 20 – 30%, and comparison to other results from literature also revealed the superior qualities of the 3D-foams.
  119. [119]
    [PDF] Strategic Research and Innovation Agenda 2025 - ECS SRIA
    We are living in a period characterised by unprecedented challenges: climate crisis, ageing population, geopolitical tensions, to name a few.
  120. [120]
    3D IC Market Size, Share, Forecast | Global Growth Report 2032
    The global 3D IC market size was valued at USD 17.13 billion in 2024 and is projected to grow from USD 19.46 billion in 2025 to USD 48.27 billion by 2032.
  121. [121]
    TSMC Announces Advances in 3D IC Technology for AI Chip ...
    Sep 6, 2024 · High-Performance Computing (HPC) and Artificial Intelligence (AI) are expected to lead the market, accounting for 40% of the market share.
  122. [122]
    3D IC Packaging Market Size, Share & Industry Trends Report, 2030
    Sep 4, 2025 · The impending jump to HBM4+—slated for high-volume ramp in 2027—injects a forecast 24.91% CAGR for memory-centric packages through 2030.<|control11|><|separator|>
  123. [123]
    3D IC Market Size, Trends, Share & Growth Report, 2033
    The 3D IC Market size was valued at USD 16.85 Billion in 2025E and is projected to reach USD 50.19 Billion by 2033, growing at a CAGR of 14.64% during 2026-2033 ...
  124. [124]
    Three-Way Race To 3D-ICs - Semiconductor Engineering
    May 5, 2025 · Intel Foundry, TSMC, and Samsung Foundry are scrambling to deliver all the foundational components of full 3D-ICs.
  125. [125]
  126. [126]
    TSMC: record 70% market share as global foundry revenue hits ...
    Sep 15, 2025 · Samsung's revenue climbed 9.2% to $3.16 billion, lifting its market share to 7.3% on the back of smartphone silicon and the processor for ...Missing: 3D | Show results with:3D