Fact-checked by Grok 2 weeks ago

POWER7

The POWER7 is a high-performance, 64-bit family developed by and released in February 2010 as the successor to the , implementing the Power ISA version 2.06 architecture in native mode while maintaining binary compatibility with prior POWER processors. Fabricated using a 45 nm silicon-on-insulator (SOI) process with , each POWER7 chip measures 567 mm², contains 1.2 billion transistors, and integrates up to eight processor cores on a single-chip module, with each core supporting () for up to four threads to enhance throughput in enterprise workloads. The design incorporates 256 KB of private L2 per core and a shared 32 MB L3 built with embedded () directly on the chip, enabling high bandwidth and low latency for demanding applications in servers like the Power 770 and Power 780 systems. Key innovations in the POWER7 architecture focus on balancing performance, , and reliability for scalable () environments, supporting up to 256 cores and 1,024 threads across systems with configurations ranging from 4- to 8-core chips clocked between 3.3 GHz and 4.25 GHz depending on mode. It introduces advanced energy management via EnergyScale technology, including (from 50% to 110% of nominal speed), processor folding, and modes like nap and sleep to reduce power consumption while maintaining responsiveness. support upgrades to DDR3 at 1066 MHz with up to 8 channels and 4 TB capacity per system, doubling bandwidth over the DDR2 used in , alongside enhanced features through PowerVM such as Active Memory Sharing, Live Partition Mobility, and Active Memory Mirroring for firmware protection. Compared to the , the POWER7 delivers substantial advancements, including a shift from 2 cores and off-chip L3 at 65 to 8 cores with on-chip L3 at 45 , SMT4 instead of SMT2, and the addition of Vector Scalar Extensions (VSX) for improved floating-point and vector processing in scientific and analytical tasks. (RAS) are bolstered by features like processor instruction retry, alternate processor recovery, and dynamic deallocation, ensuring high uptime in mission-critical deployments running AIX, , or operating systems. Overall, the POWER7 established a foundation for IBM's enterprise computing platform, emphasizing throughput-oriented performance for database, , and applications until its end-of-support in 2019.

History and Development

Origins and Research

The development of the processor originated from 's participation in the U.S. High Productivity Computing Systems (HPCS) program, aimed at creating petascale supercomputing capabilities by 2010. In November 2006, awarded a $244 million contract for Phase III of the HPCS initiative, selecting over competitors like to lead the design of a scalable, system. This funding supported the PERCS (Productive, Easy-to-use, Reliable Computing Systems) project, which positioned POWER7 as the foundational processor for achieving exascale precursors through integrated hardware and software innovations. The PERCS project emphasized a holistic approach to high-productivity , leveraging POWER7 processors in clustered configurations to deliver while simplifying programming models for complex simulations. Central to PERCS was the integration of IBM's AIX operating system with the General Parallel File System (GPFS), enabling clusters to emulate a global environment across distributed nodes. This software stack facilitated low-latency data access and fault-tolerant operations, allowing developers to treat large-scale clusters as unified memory spaces without extensive message-passing code, thereby addressing productivity bottlenecks in supercomputing workflows. POWER7 represented a pivotal evolution from its predecessor, , by shifting design priorities from maximizing clock frequencies to enhancing power efficiency and scalability for massive parallel systems. While emphasized high-frequency dual-core performance, POWER7 adopted an eight-core architecture with to sustain operations in dense, multi-node environments like those targeted by PERCS. This transition was driven by the need to balance computational density with thermal constraints in large-scale deployments. IBM's foundational research in the early laid the groundwork for POWER7's core innovations, particularly in overcoming the "power wall" posed by diminishing returns in scaling. Efforts focused on (), first implemented in the processor in 2004, to improve resource utilization by interleaving instructions from multiple threads on shared execution units. Concurrently, IBM advanced techniques, building on POWER4's deep pipelines, to mitigate stalls from while conserving energy in sub-90nm processes. These developments, explored through simulations and prototypes, enabled POWER7 to achieve higher throughput per watt, informing PERCS' scalability goals.

Launch and Milestones

IBM unveiled the POWER7 processor on February 8, 2010, during a dedicated event for its Power Systems lineup, marking a significant advancement in architecture. The announcement highlighted the processor's capabilities in handling data-intensive workloads, with initial systems becoming available for shipment in the second quarter of 2010. This launch positioned POWER7 as a key component in IBM's strategy to enhance server efficiency and scalability. At introduction, POWER7 was offered in 4-core and 8-core configurations, operating at clock speeds ranging from 2.4 GHz to 3.55 GHz, enabling flexible deployment across various enterprise and scientific applications. These variants supported both balanced performance and power-optimized setups, catering to diverse needs without compromising on multithreading efficiency. By mid-2010, POWER7 had been integrated into the Power 750 Express servers, which began shipping and provided enterprises with robust options for and workload consolidation. Additionally, POWER7-based clusters achieved notable positions in the supercomputer rankings throughout 2010, including entries in the June and November lists, demonstrating its prowess in environments. These early adoptions underscored POWER7's rapid market penetration. IBM's development roadmap framed POWER7 as a critical bridge toward , influencing designs like the PERCS system under DARPA's High Productivity Computing Systems program to advance scalable, reliable supercomputing ahead of the successor.

Design Features

Microarchitecture

The POWER7 processor implements a superscalar, , representing an evolution from the in-order execution design of the processor. This enables dynamic instruction reordering to optimize execution efficiency and throughput. The consists of approximately 14-16 stages, supporting aggressive out-of-order processing to reduce stalls and enhance single-thread performance. The front-end of the features dual-issue mechanisms for fetch, decode, and dispatch, allowing up to six instructions to be dispatched per cycle in a superscalar . width extends to eight to the execution units, facilitating high . Each core includes 12 execution units in total: two fixed-point units for integer arithmetic and logical operations, two load/store units for access, four double-precision floating-point units for scalar computations, one unit supporting the Vector Scalar eXtension (VSX), one branch unit, one condition register unit, and one decimal . Branch prediction employs an advanced dynamic scheme incorporating a gshare predictor, a branch target buffer (BTB), local and global history tables, and a selector mechanism to achieve high accuracy and reduce misprediction penalties. This system integrates a 15-entry link stack and a 128-entry to support efficient handling. The POWER7 core complies with the Power ISA 2.06 specification, including extensions for support via PowerVM and decimal floating-point operations to enable precise financial and scientific computations.

Multithreading and Execution

The POWER7 processor incorporates (SMT) through its SMT4 implementation, enabling up to four hardware threads per core with fair scheduling to balance usage among active threads. Resource partitioning is achieved via dedicated elements such as separate register files for each thread, which reduces inter-thread interference and supports efficient concurrent execution. In the POWER7 execution model, threads undergo context switching at cycle boundaries, facilitated by hardware mechanisms that minimize overhead and ensure smooth interleaving of instructions from multiple threads. This allows the eight-core chip to handle up to 32 threads simultaneously, with operator-configurable modes supporting 1, 2, or 4 threads per core to tailor performance to specific application demands. SMT4 enhances parallelism in throughput-oriented workloads, including (HPC) simulations, by better utilizing execution resources during periods of latency or imbalance, yielding speedups of 1.6 to 2 times in mixed integer and floating-point tasks. The processor's dispatch unit supports a 6-wide issue to reservation stations, enabling speculative out-of-order execution that extends across threads to maximize instruction throughput and overlap dependent operations.

Power Efficiency and Integration

The POWER7 processor incorporates advanced power management features to optimize energy use across varying workloads. One key innovation is TurboCore mode, which selectively disables four of the eight cores to reallocate power, enabling the active cores to operate at a higher frequency with approximately a 20% performance boost per core while maintaining the same overall power envelope. Complementing this is active energy scaling through dynamic voltage and frequency scaling (DVFS), which allows per-core adjustments in voltage and frequency ranging from -50% to +10% of nominal values, with fine-grained 25 MHz resolution and rapid slew rates exceeding 50 MHz/µs to respond to workload demands efficiently. These features, including static power save (SPS) and dynamic power save (DPS) modes, enable up to 50% improvements in energy-efficiency scores on benchmarks like SPECpower_ssj2008 compared to baseline configurations. Die-level integration in POWER7 emphasizes compact, low-power design for its eight cores. On-chip is achieved via a voltage sequencer and / interfaces that synchronize multiple voltage regulators, ensuring stable delivery across the while minimizing off-chip dependencies. Clock is handled by a digital (PLL) that supports the full DVFS range, providing precise control over for all cores without excessive overhead. The 32 MB shared L3 cache, implemented using embedded DRAM (), significantly reduces static leakage compared to traditional SRAM alternatives, as eDRAM's cell structure inherently lowers standby currents while maintaining high density and coherence during low-activity states like Nap mode. These optimizations contribute to substantial efficiency gains, with POWER7 delivering over four times the peak performance of within the same power budget, translating to markedly improved performance-per-watt metrics—up to three times better in certain configurations. Critical path monitoring and dynamic guardbanding further enhance this by reducing voltage margins based on runtime silicon variation, yielding power savings of 15-26% without performance loss. Additionally, (SMT) aids efficiency by balancing workloads across cores, allowing better utilization of available power headroom. Packaging for POWER7 supports both and , featuring a 567 mm² die fabricated in 45 SOI housed in a single-chip module for applications. For high-end systems, multi-chip modules (MCMs) integrate up to four such dies on a shared substrate, enabling expanded hierarchies with total on-chip L3 capacity reaching 128 MB while optimizing and thermal management through integrated interconnects.

Technical Specifications

Core and Cache Configuration

The POWER7 processor chip is manufactured in variants containing 4, 6, or 8 cores, allowing flexibility for different workload requirements and power envelopes. Each includes private on-chip caches: a split L1 cache with 32 KB dedicated to instructions and 32 KB to data, both optimized for low-latency access, and a unified 256 KB L2 cache that serves as a high-bandwidth between the L1 and higher levels. These private caches enable independent operation per core while minimizing contention in multithreaded environments. The chip features a shared L3 implemented in embedded dynamic random-access memory (), totaling 32 in the 8-core configuration (4 per core), with proportionally scaled sizes of 16 for 4-core and 24 for 6-core variants to maintain the per-core allocation. This L3 is organized as eight 4 regions, each 8-way set-associative with 128-byte lines, and incorporates a to track coherence states across the multiprocessor system. The use of provides high density and bandwidth while reducing power consumption compared to traditional implementations. The capabilities within each core facilitate efficient prefetching and handling of misses to optimize flow through this . The subsystem integrates dual DDR3 controllers directly on the , each supporting four channels for a total of eight channels, delivering up to 100 GB/s of sustained per to main . This supports DDR3 speeds up to 1333 MT/s, enabling high-throughput access for compute-intensive applications. Additionally, the POWER7 includes hardware support for Active Expansion, a technology that transparently expands effective capacity by up to 2x through real-time page-level and decompression in the . Cache coherence across cores and sockets is managed via a dual-scope broadcast protocol that combines local and global scopes for efficient directory-based snooping, supporting (SMP) scalability up to 32 sockets while handling over 20,000 outstanding coherent operations. This protocol extends traditional MESI states with additional mechanisms for and reduced latency in large-scale configurations.

Performance and Electrical Characteristics

The features clock rates ranging from 2.4 GHz in 8-core configurations to 4.25 GHz in 4-core high-end variants, with TurboCore mode enabling boosts up to 4.14 GHz by deactivating half the cores to concentrate power on the active ones for demanding workloads. This dynamic adjustment allows for optimized performance in single-threaded or lightly threaded applications while maintaining compatibility with multi-core scaling. The processor's () are improved over the , driven by architectural enhancements in execution units and reduced latencies. Performance metrics highlight the POWER7's capabilities in compute-intensive tasks, with an 8-core at 4.14 GHz delivering up to 794.88 GFLOPS in single-precision floating-point operations, leveraging four fused multiply-add units per core capable of 24 FLOPS per cycle in modes. In standardized benchmarks, configurations such as an 8-core POWER7 at 3.55 GHz contribute to system-level SPEC CPU2006 rate base scores of in a 32-core (4-socket) setup, demonstrating strong throughput for workloads. Overall performance exceeds 4× that of the , establishing significant generational gains in balanced server environments. Electrically, the POWER7 is built on a 45 nm silicon-on-insulator (SOI) process with copper interconnects and integrates 1.2 billion transistors across a 567 mm² die. (TDP) varies from 100 W for lower-clocked, fewer-core modules to 300 W for high-frequency 8-core chips, enabling deployment in energy-efficient 1- to 4-socket systems while supporting scalability to 32 sockets for enterprise-scale coherence. The on-chip L3 provides high bandwidth to sustain these metrics in multi-socket configurations.
CharacteristicDetails
Clock Rates2.4–4.25 GHz nominal; up to 4.14 GHz in TurboCore mode
Peak Single-Precision GFLOPS (8-core, 4.14 GHz)794.88
SPEC CPU2006 Integer Rate (example: 32-core system at 3.55 GHz)Base 1020
Transistor Count1.2 billion
Process Technology45 nm SOI
TDP Range100–300 W
IPC Improvement over Improved by architectural enhancements
Scalability1–4 sockets standard; up to 32 sockets

POWER7+ Variant

Key Improvements

The POWER7+ processor represents a refined of the POWER7 architecture, announced by in August 2012 at the Hot Chips conference, with a focus on enhancing and for environments. The key process advancement was a migration to a 32 nm silicon-on-insulator (SOI) from the 45 nm used in POWER7, maintaining the same 567 mm² die size while enabling denser integration and improved power . This shrink, combined with advancements in such as refined TurboCore techniques from the original POWER7, allowed for higher operating frequencies at a fixed power envelope, targeting denser configurations with greater per-chip capacity. A major architectural enhancement was the expansion of the on-chip L3 cache to 10 MB per core—totaling 80 MB for an eight-core —leveraging improved density made possible by the smaller process node. This increase, roughly 2.5 times larger than the POWER7's L3 cache, aimed to reduce and boost throughput in memory-intensive workloads by providing more on-die without expanding the overall . Clock speeds were elevated to a maximum of 4.4 GHz in select configurations, supported by the process improvements and optimized voltage regulation. Additionally, enhancements to simultaneous multithreading (SMT) enabled support for up to 20 logical partitions (LPARs) per core, improving virtualization density and resource allocation efficiency for enterprise deployments. These changes collectively facilitated more compact, power-efficient systems capable of handling increased computational demands in consolidated server racks.

Updated Specifications

The POWER7+ processor maintains the core architecture of its predecessor while introducing refinements that enhance density and efficiency, supporting configurations of 8 to 16 cores per processor module through single or dual-chip modules. Each core features a private 32 KB instruction cache and 32 KB data cache at the L1 level, paired with a dedicated 256 KB L2 cache. The on-chip L3 cache is expanded to 80 MB of shared embedded DRAM (eDRAM), providing 10 MB of fast local region per core to optimize access latencies and bandwidth. Performance capabilities are elevated, with clock speeds reaching up to 4.4 GHz and support for 4-way (SMT4) per core, enabling up to 32 threads per 8-core chip. In single-precision floating-point operations, an 8-core chip at 4 GHz delivers approximately 0.512 TFLOPS, scaling to around 1.0 TFLOPS in 16-core dual-chip configurations. results demonstrate significant gains over the POWER7, such as SPEC CPU2006 rate base scores of 626 in a 16-core at 4.2 GHz, reflecting improvements in throughput exceeding 60 in normalized per-core metrics across various workloads. Fabricated on a 32 nm silicon-on-insulator (SOI) with and 13 metal layers, the POWER7+ achieves a die size of 567 mm² and contains 2.1 billion transistors, leveraging the node shrink primarily to expand the L3 cache while maintaining comparable area to the 45 nm POWER7. (TDP) ranges from 150 W for lower-frequency variants to 250 W for high-performance models, supported by advanced power states including , , and winkle modes for granular . The POWER7+ ensures full binary compatibility with POWER7 software stacks, including AIX, , and distributions, allowing seamless migration without recompilation. It incorporates enhanced () features, such as the Engine () for concurrent updates and dynamic re-initialization, L3 dynamic column repair, and Fabric Bus dynamic lane repair, which improve and reduce downtime compared to the base POWER7.

Products and Applications

Commercial Servers

The lineup based on POWER7 processors included the Express series, targeted at midrange enterprise needs with scalable configurations from 4 to 32 cores. The Power 710 (8231-E1D) featured a single socket supporting 4, 6, or 8 cores at speeds up to 3.55 GHz, while the Power 730 (8231-E2D) offered sockets for up to 16 cores at similar frequencies, and the Power 750 (8233-E8B) scaled to 1-4 sockets for a maximum of 32 cores running at 3.0-3.86 GHz. These models provided energy-efficient performance for and database workloads, leveraging the POWER7's multi-core design for up to 32 simultaneous threads per chip. For higher-end enterprise applications, the Power 770 (9117-MMC) and Power 780 (9179-MHC) delivered up to 64 cores across 2-8 sockets, with options for 6-core or 8-core POWER7 processors at frequencies from 3.1 to 4.14 GHz in TurboCore mode. These servers emphasized reliability and scalability, supporting up to 640 micro-partitions via PowerVM for consolidated transactional processing. The underlying POWER7 architecture enabled seamless expansion through features like Capacity on Demand, allowing dynamic core activation for growing business demands. BladeCenter integrations extended POWER7 capabilities to dense environments with the PS700 through PS704 blades. The single-wide PS700 and PS701 supported 4-8 cores at 3.0 GHz, the double-wide PS702 offered 16 cores, and the PS703/PS704 scaled to 16-32 cores at 2.4 GHz, all within BladeCenter H or E chassis for space-efficient deployments. These blades supported AIX (versions 5.3 TL 5300-12 SP4+, 6.1, 7.1), distributions like Enterprise Server 11 SP1+ and 5.6+, and (6.1+), facilitating mixed workloads in consolidated infrastructure. Key features across these commercial servers included PowerVM virtualization, available in Express, Standard, and Enterprise editions, which enabled logical partitioning, micro-partitioning, and live partition mobility for up to 10 partitions per core. This supported efficient resource sharing for enterprise applications, with Active Memory Expansion compressing memory usage by up to 100% to handle data-intensive tasks. withdrew general support for POWER7-based systems on December 31, 2020, while POWER7+ variants, including updated 710/730/770/780 models, received extended support until June 30, 2023, for specific software like VIOS. POWER7 servers saw adoption in and database sectors for their ability to large concurrent transactions, with integrations like DB2 and databases exploiting the processor's extensions for analytical workloads. For instance, financial institutions leveraged the Power 750 for high-throughput applications, contributing to IBM's market position in enterprise computing before a post-2015 decline in overall Power Systems sales amid broader industry shifts to x86 platforms.

High-Performance Computing Systems

The played a pivotal role in through its integration into IBM's Blue Gene/Q architecture, most notably in the supercomputer deployed at . , comprising 1,572,864 cores based on a custom clocked at 1.6 GHz, achieved a peak performance of 20 petaFLOPS and topped the list in June 2012 with a LINPACK benchmark result of 16.32 petaFLOPS. This exemplified POWER7's scalability for massively parallel workloads, enabling breakthroughs in nuclear simulations for the U.S. Department of Energy. Additionally, the Power 775 cluster , built around eight-core at 3.836 GHz, supported large-scale HPC deployments; for example, a with 62,944 cores achieved 1.59 petaFLOPS in LINPACK testing. In benchmarks, POWER7-based systems demonstrated strong efficiency in floating-point intensive tasks. Sequoia attained approximately 2 GFLOPS/W in LINPACK runs, consuming 7.9 MW while delivering its record performance, which highlighted the processor's power-efficient design for sustained . Compared to contemporary Haswell processors, POWER7 offered 20–30% superior performance in floating-point heavy workloads due to its dedicated vector multimedia extension (VMX) units and dual-pipelined floating-point execution, as evaluated in scientific computing applications. These metrics underscored POWER7's suitability for energy-constrained environments, influencing subsequent HPC designs. POWER7 powered key scientific applications, including climate modeling and simulations. At the European Centre for Medium-Range Weather Forecasts, POWER7-based 775 clusters ran high-resolution global and climate simulations using transforms, achieving faster computation times for forecasts. In , systems like BlueBioU leveraged POWER7's parallel processing for and analyses, accelerating processes in pharmaceutical research. By the , POWER7 systems reached end-of-life, with transitions to architectures in HPC facilities to maintain compatibility with evolving software stacks and higher performance demands. The legacy of POWER7 extends to the exascale computing roadmap, where its emphasis on balanced parallelism and efficiency informed the OpenPOWER Foundation's open ecosystem, launched in 2013 to foster collaborative HPC innovations beyond proprietary boundaries. This foundation built on POWER7's demonstrated scalability in projects like DARPA's PERCS, paving the way for exascale systems such as those incorporating later POWER variants.

References

  1. [1]
    IBM Launches POWER7 processor and server line
    Today, IBM announced its new POWER7 processor, and a line of servers that use this technology. Here is a quick [3-minute video] about the POWER7. While ...
  2. [2]
    [PDF] IBM Power 770 and 780 Technical Overview and Introduction
    POWER7 processor architecture. Release after release, these compilers continue to help improve application performance and capability, exploiting ...
  3. [3]
    IBM i History and Timeline | Fortra
    Feb 19, 2024 · RELEASE DATE, END OF SUPPORT DATE. Power6, June 2007, March 2019. Power7, February 2010, September 2019. Power8, June 2014, Still supported.
  4. [4]
    DARPA Selects Cray and IBM for Final Phase of HPCS - HPCwire
    The Defense Advanced Research Projects Agency (DARPA) selected Cray and IBM as the two Phase III developers for the High Productivity Computing Systems (HPCS) ...Missing: contract | Show results with:contract
  5. [5]
    DARPA Pushes to Bring Supercomputers to the Masses
    2006: Cray is awarded $250 million and IBM $244 million to complete development of petascale systems. “Right now, we have a collection of codes written in ...
  6. [6]
    Power7 Hub Chip Key to IBM's PERCS Super - HPCwire
    Aug 25, 2010 · PERCS ((Productive, Easy-to-use, Reliable Computing System) is IBM's contribution to DARPA's High Productivity Computing Systems (HPCS) program ...Missing: project | Show results with:project
  7. [7]
    [PDF] IBM Power Systems 775 for AIX and Linux HPC Solution
    This edition applies to IBM AIX 7.1, xCAT 2.6.6, IBM GPFS 3.4, IBM LoadLelever, Parallel Environment. Runtime Edition for AIX V1.1. Note: Before using this ...
  8. [8]
    [PDF] Almaden GPFS Activities
    Supercomputer is normally a large cluster whose nodes communicate over a high-speed fabric and share storage. – Nodes cooperate using shared memory, message.
  9. [9]
    PERCS: the IBM power7-IH high-performance computing system
    This paper describes the PERCS hardware and software, along with many of the design decisions that went into its creation. References. [1]. J. L. Hennessy and ...
  10. [10]
    IBM Unveils New POWER7 Systems to Manage Increasingly Data ...
    Feb 8, 2010 · POWER7 results to be submitted to SPEC by February 8, 2010. SPEC ... Watch a replay of the POWER7 press and analyst launch event held February 8 ...
  11. [11]
    IBM to ship servers with next-gen chips H1 2010 | Reuters
    Jul 20, 2009 · IBM <IBM.N> is set to begin shipping new UNIX servers using next-generation microprocessors called POWER7 in the first half of 2010, ...
  12. [12]
    [PDF] IBM Power 710 and 730 Technical Overview and Introduction
    POWER7™ processor architecture. Release after release, these compilers continue to help improve application performance and capability, exploiting ...
  13. [13]
    [PDF] IBM Power 750 Express server offers IBM POWER7 technology and ...
    Mar 16, 2010 · IBM plans for PowerVM Lx86 to support POWER7 systems in second quarter 2010. All statements regarding IBM's future direction and intent are ...
  14. [14]
    June 2010 | TOP500
    In part due to its NVidia GPU accelerators, Nebulae reports an impressive theoretical peak capability of almost 3 petaflop/s – the highest ever on the TOP500.
  15. [15]
    [PDF] POWER7 and POWER7+ Optimization and Tuning Guide
    Oct 30, 2011 · This edition pertains to Power Systems servers based on POWER7 and POWER7+ processor-based technology. Specific software levels and firmware ...
  16. [16]
    [PDF] POWER7: IBM's Next Generation Server Processor - Hot Chips
    ▫ Eight processor cores. ▫. 12 execution units per core. ▫. 4 Way SMT per core. ▫. 32 Threads per chip. ▫. 256KB L2 per core. ▫ 32MB on chip eDRAM shared L3.
  17. [17]
    [PDF] Performance Implications of POWER7 TurboCore Mode - IBM
    Apr 13, 2010 · The POWER7 Model 780 system offers an optional mode called TurboCore which allows the processor cores to execute at a higher frequency - about ...Missing: efficiency DVFS
  18. [18]
    [PDF] Adaptive Energy Management Features of the POWER7TM Processor
    Aug 23, 2010 · ▫ SPS (Static Power Save): fixed, low-power operating point. = improved score almost 25%. ▫ DPS (Dynamic Power Savings):. DVFS with Turbo mode.
  19. [19]
    [PDF] Architecting for Power Management: The IBM® POWER7™ Approach
    Each POWER7 chip is 567mm2, contains 1.2 billion transistors, and is built in IBM's 45 nm (12s CMOS) Cu SOI technology.
  20. [20]
    [PDF] IBM Power 720 and 740 Technical Overview and Introduction
    POWER7 processor architecture. Release after release, these compilers continue to help improve application performance and capability, exploiting ...
  21. [21]
    IBM lifts the veil on Power7 chips • The Register
    What IBM did say is that depending on the chip, the Power7 variants will offer anywhere from two to three times the performance per watt of a Power6 chip at a ...
  22. [22]
    Big chip for big boxes: IBM cracks open lid on Power7 • The Register
    Midrange and high-end Power7 servers will use a single-chip glass ceramic package that has both memory controllers activated and could sport four and ...
  23. [23]
    IBM POWER7 multicore server processor - IEEE Xplore
    Apr 29, 2011 · >Volume: 55 Issue: 3. IBM POWER7 multicore server processor. Publisher: IBM. Cite This. PDF. B. Sinharoy; R. Kalla; W. J. Starke; H. Q. Le ...
  24. [24]
    [PDF] IBM Power 720 and 740 Technical Overview and Introduction
    POWER7 processor architecture. Release after release, these compilers continue to help improve application performance and capability, exploiting ...
  25. [25]
    AIX Active Memory Expansion (AME) - IBM
    Jun 9, 2023 · Active Memory Expansion is an innovative AIX and POWER technology that allows the effective maximum memory capacity to be much larger than the true physical ...
  26. [26]
    [PDF] Maximizing the Value of an IBM POWER7 and IBM POWER7+ ...
    Mar 21, 2013 · Each chip is 567 mm2 and contains 1.2 billion transistors. The POWER7 processor chip (Figure 3) contains eight cores. Each core has its own ...
  27. [27]
    [PDF] IBM Power 750 and 755 - Technical Overview and Introduction
    He delivered the POWER7 technology lecture to the European STG. Technical Conference in 2009 and was a keynote speaker at the announcement of POWER7.
  28. [28]
    Requirements for Turbocore Mode - IBM
    Sep 22, 2021 · TurboCore is also referred to as Extended Cache Option (ECO), or Enhanced Cache Mode. In this mode, four of the eight cores are disabled, ...Missing: details | Show results with:details
  29. [29]
    SPEC® CINT2006 Result
    Nov 23, 2010 · CINT2006 result for IBM Power 750 Express (3.55 GHz, 32 core, RedHat); base: 1020; peak: 1140.Missing: scores | Show results with:scores
  30. [30]
    POWER7 - Wikipedia
    ... released in 2010 that succeeded the POWER6 and POWER6+. POWER7 was developed by IBM at several sites including IBM's Rochester, MN; Austin, TX; Essex ...
  31. [31]
    [PDF] IBM - Hot Chips
    Aug 29, 2012 · ➢ Scalability up to 32 Sockets. ▫ 360GB/s SMP bandwidth/chip. ▫ 20,000 coherent operations in flight. ➢ Technology: 32nm lithography, Cu ...
  32. [32]
    [PDF] IBM Power 710 and 730 Technical Overview and Introduction
    POWER7 Compatibility Mode supports both POWER7 and POWER7+ processors. Tip: The following web page offers presentations of the supported migrations: http ...
  33. [33]
    IBM POWER7+ design for higher frequency at fixed power
    Zyuban, "The implementation of POWER7, a highly parallel, scalable multi core high end server processor," in Proc. IEEE ISSCC, 2010, pp. 102-103. Google ...
  34. [34]
    IBM to double-stuff sockets with power-packed Power7+ - The Register
    Aug 31, 2012 · A big chunk of the shrink from 45nm to 32nm with the Power7+ chip was used to boost the on-die L3 eDRAM cache, specifically by a factor of 2.5 ...
  35. [35]
    [PDF] IBM Power 770 and 780 (9117-MMD, 9179-MHD) Technical ...
    The IBM Power 770 and 780 use POWER7+ processor technology, support up to 20 LPARS per core, and have new I/O cards and drawers.
  36. [36]
    New PowerLinux technology and software - IBM
    Because we have more available chip real estate now that we've shrunk the die size, we've bumped up the L3 cache from 4 MB to 10 MB. This significantly boosts ...
  37. [37]
    [PDF] IBM Power 720 and 740 Technical Overview and Introduction
    The total L3 cache available on the POWER7+ SCM, maintaining 10 MB per processor core ... implement the L3 cache in eDRAM and place it on the POWER7+ processor ...
  38. [38]
    Power Chips To Get A GPU Boost Through Nvidia Partnership
    Dec 2, 2013 · Running at 4 GHz, an eight-core Power7+ chip delivers 512 gigaflops at single precision or 256 gigaflops at double precision. This is a fair ...
  39. [39]
    [PDF] IBM Power 740 Express (4.2 GHz, 16 core)
    Feb 26, 2013 · POWER7+. CPU Characteristics: Intelligent Energy Optimization enabled, up to 4.540 GHz. CPU MHz: 4228. FPU: Integrated. CPU(s) enabled: 16 cores ...
  40. [40]
    [PDF] IBM Power Systems Facts and Features POWER7 Blades and Servers
    f Each Power 780 3.86 GHz processor card has two sockets, with each socket having eight POWER7 processor cores.
  41. [41]
    [PDF] IBM BladeCenter PS703 and PS704 Technical Overview and ...
    The IBM BladeCenter PS703 and PS704 feature the POWER7 processor, multi-core technology, and are managed by the Systems Director Management Console. Models are ...
  42. [42]
    [PDF] IBM PowerVM Virtualization Introduction and Configuration
    This edition applies to: Version 7, Release 1 of AIX. Version 7, Release 1 of IBM i. Version 2, Release 2, Modification 2, Fixpack 26 of the Virtual I/O Server.
  43. [43]
    Expected services when contacting IBM Support for VIOS versions ...
    May 30, 2025 · Standard End of Support date ended Sept 30, 2020 and the last service extension ended June 30, 2023. No additional service extensions are ...
  44. [44]
    Power7 And Power7+ Will Truly Be Dead At The End Of 2020
    Oct 7, 2019 · It looks like the final plugs are being pulled on hardware support for a whole bunch of machines, effective December 31, 2020.<|control11|><|separator|>
  45. [45]
    [PDF] Oracle on IBM Power Systems
    Ralf has helped customers in the financial, telecommunications, utility, retail, and manufacturing industries to choose suitable database and infrastructure.
  46. [46]
    IBM launches eight-core Power7 processor, servers - InfoWorld
    Feb 8, 2010 · The chips is designed for Internet, database or analytical workloads that process a large number of concurrent transactions, the company said.
  47. [47]
    Sequoia - BlueGene/Q, Power BQC 16C 1.60 GHz, Custom - TOP500
    Sequoia - BlueGene/Q, Power BQC 16C 1.60 GHz, Custom. Site ... BlueGene/Q, Power BQC 16C 1.60 GHz, Custom, IBM, 1,572,864, 16,324.75, 20,132.66, 7,890.00. 11/2011 ...Missing: supercomputers | Show results with:supercomputers
  48. [48]
    Power 775, POWER7 8C 3.836GHz, Custom Interconnect | TOP500
    Performance. Linpack Performance (Rmax), 1,587.00 TFlop/s. Theoretical Peak (Rpeak), 1,931.62 TFlop/s. Power Consumption. Power: 3,575.63 kW. Software.
  49. [49]
    US retakes supercomputing crown with 16-petaflops Sequoia
    Jun 20, 2012 · The chip's frugal power consumption means that Sequoia uses only 7.9 megawatts of power while running Linpack, or around two gigaflops per watt.<|separator|>
  50. [50]
    How IBM Stacks Up Entry Power8 Machines Against X86 Iron
    Sep 29, 2014 · But with the Haswell Xeons, Intel has just tweaked its integrated math units to double their performance, so this gap will close considerably.
  51. [51]
    A Fast Spherical Harmonics Transform for Global NWP and Climate ...
    Oct 1, 2013 · All simulations have been performed on the IBM POWER7 775 cluster installed at ECMWF. In all comparisons shown in this paper we have used the ...
  52. [52]
    Supercomputing, one PC at a time | Drug Discovery News
    The supercomputer, called "BlueBioU," is the first deployment of IBM's recently released POWER7 ... See applications in drug discovery, gene therapy, agriculture, ...
  53. [53]
    IBM Power7 and Power7+ are officially end of life – now what?
    Dec 22, 2020 · After Power7 end-of-life, options include upgrading to Power9, moving to the cloud, using a third-party maintenance company, or doing nothing.
  54. [54]
    IBM: Software Ecosystem for OpenPOWER is Ready for Prime Time
    Apr 16, 2018 · Readying the software ecosystem is an important step for IBM/OpenPOWER. The big change, of course, was IBM's decision to expand support for Linux and the ...
  55. [55]
    PERCS: The IBM POWER7-IH high-performance computing system
    Aug 9, 2025 · Designed for 0.948 Teraflop/s peak performance per node, the machine is the IBM's answer for the DARPA's High Productivity Computing Systems ( ...