Copper interconnects are the conductive wiring structures in integrated circuits (ICs) that link transistors, capacitors, and other components, primarily fabricated from copper metal to enable efficient signal transmission and power distribution in semiconductor devices. Adopted as the industry standard starting in the late 1990s, copper interconnects replaced aluminum due to their lower electrical resistivity of 1.68 μΩ·cm (compared to aluminum's 2.65 μΩ·cm), which reduces resistance-capacitance (RC) delays and supports higher transistor densities in advanced nodes.[1] This technology forms the backbone of the backend-of-line (BEOL) processing in modern microelectronics, facilitating the performance gains essential for processors, memory, and system-on-chip designs.The transition to copper interconnects marked a pivotal advancement in semiconductor manufacturing, pioneered by IBM in the mid-1990s to overcome the limitations of aluminum wiring, which suffered from high resistance and electromigration as feature sizes scaled below 0.25 μm.[2]IBM announced the breakthrough in September 1997, leveraging the dual-damascene process—developed from early 1980s research—to enable reliable copper deposition, and began high-volume production in 1998 at its Burlington, Vermont facility for PowerPC microprocessors.[3] By 1999, copper-enabled chips powered IBM's S/390 G6 enterprise servers, delivering a 50% performance increase over aluminum counterparts, and the material quickly became ubiquitous across the industry, driving Moore's Law through the 2000s.[2]Fabrication of copper interconnects typically employs the damascene or dual-damascene technique, where insulating low-k dielectric layers (such as SiCOH with dielectric constants of 2.2–3.2) are deposited, followed by patterning trenches and vias via plasma etching.[1] A thin diffusion barrier, often tantalum nitride (TaN) capped with tantalum (Ta), is then sputter-deposited to prevent copper atoms from migrating into the silicon substrate and causing reliability failures, after which a copper seed layer is applied and the structures are filled using electrochemical plating (ECP).[4] Excess material is removed via chemical mechanical polishing (CMP), which uses oxidizers like hydrogen peroxide and inhibitors to achieve planar surfaces without dishing or erosion, ensuring multilevel stacking for complex IC architectures.[1]Key advantages of copper include approximately 40–45% lower resistivity than aluminum, enabling 15% faster microprocessor speeds, up to 30% reduced power consumption at equivalent frequencies, and over 100 times greater resistance to electromigration for enhanced durability in high-current applications.[2][3] These benefits have sustained copper's dominance from the 180 nm node through advanced nodes such as 3 nm and 2 nm as of 2025, though scaling challenges—such as grain boundary scattering increasing effective resistivity and the need for thinner barriers—have prompted ongoing innovations like self-forming barriers and low-k integration to maintain performance. Recent innovations, such as ruthenium-based liners, have enabled further scaling to the 2 nm node as of 2025.[5][6]
Overview
Definition and Role in Integrated Circuits
Copper interconnects consist of thin copper wires or lines embedded within insulating dielectrics, forming multilevel wiring structures during the back-end-of-line (BEOL) processing of integrated circuits (ICs).[7] These structures serve as the essential conductive pathways that distribute clock signals, power, ground, and data signals across transistors and functional blocks in CMOS-based ICs.[7]In their role within ICs, copper interconnects facilitate efficient signal propagation between active devices, mitigating resistance-capacitance (RC) delays that could otherwise limit circuit speed and efficiency.[7] By enabling low-resistance conduction and integration with low-k dielectrics, they support high-bandwidth, low-power operation critical for advanced microprocessors, memory chips, and logic devices in modern electronics.[7]Copper interconnects are integrated via damascene or dual damascene architectures, in which copper fills pre-etched trenches and vias within low-k dielectric materials to form isolated, multilevel networks that minimize crosstalk and capacitance.[7] For instance, in CMOS technologies at 5 nm nodes and beyond, these interconnects comprise up to 10-15 metal layers, allowing complex routing hierarchies essential for high-density scaling.[8] This multilevel approach evolved from the late-1990s transition from aluminum interconnects to address scaling limitations in earlier generations.[2]
Advantages over Aluminum
Copper interconnects offer significant electrical advantages over aluminum due to copper's lower bulk resistivity of 1.68 μΩ·cm compared to aluminum's 2.65 μΩ·cm, allowing for reduced resistance in wiring and enabling faster signal propagation speeds.[9] This lower resistance translates to decreased power dissipation in integrated circuits, as less energy is lost to heat during signal transmission.[2] In practice, the approximately 40% reduction in resistance provided by copper has resulted in about a 15% improvement in overall microprocessor performance.[2]Thermally, copper provides superior conductivity at 401 W/m·K versus aluminum's 237 W/m·K, which aids in more efficient heat dissipation and reduces localized heating in dense interconnect structures.[10] Additionally, copper exhibits substantially higher resistance to electromigration—up to 100 times greater than aluminum—allowing it to withstand higher current densities without void formation or failure, thereby enhancing long-term reliability under operational stresses.[2]The scalability of copper interconnects surpasses that of aluminum, particularly for feature sizes below 100 nm, where aluminum's higher resistivity leads to excessive resistance increases that degrade performance.[9] Copper's material properties support continued scaling without proportional resistance growth, making it essential for advanced nodes. Early implementations of copper interconnects reduced RC time constants by up to 30%, contributing to dramatic clock speed improvements from around 300 MHz with aluminum to over 1 GHz in subsequent generations.[11][12][13]
Historical Development
Early Research and Challenges
During the 1960s and 1970s, researchers at Bell Laboratories and IBM conducted initial experiments exploring copper as an alternative metallization material for integrated circuits, attracted by its lower electrical resistivity compared to aluminum. However, these efforts were hampered by copper's poor adhesion to silicon dioxide and its tendency to diffuse rapidly into silicon substrates, leading to contamination of active devices.[14][15]A primary challenge was copper's high diffusivity in both silicon and silicon dioxide, which introduced deep-level traps that degraded transistor performance and reliability. Unlike aluminum, which forms a stable oxide layer that limits diffusion, copper's weak, non-adherent oxide offered no such protection, necessitating the development of barrier layers to prevent poisoning of semiconductor junctions.[14][16]Further complicating adoption, copper lacked compatible dry etching processes for patterning, as it resisted the reactive ion etching techniques effective for aluminum due to its chemical inertness. Studies in the 1980s, including work by McBrayer et al., quantified these issues by measuring copper's diffusion coefficient in SiO₂ at approximately 1.2 × 10^{-13} cm²/s at 450°C with an activation energy of 1.82 eV, revealing it to be orders of magnitude higher than aluminum's negligible diffusivity in SiO₂ under similar conditions and underscoring the need for innovative process solutions.[17][18]
IBM's Breakthrough and Industry Adoption
In 1997, IBM, in collaboration with Motorola, achieved a major breakthrough in semiconductor manufacturing by developing the first viable copper interconnect technology for integrated circuits, announced at the InternationalElectron Devices Meeting (IEDM) for the 220 nm technology node. This innovation addressed longstanding challenges in copper diffusion and deposition, enabling reliable integration into CMOS processes. The key advancements included the development of Ta/TaN diffusion barriers to prevent copper migration into surrounding dielectrics, thin copper seed layers to facilitate uniform nucleation, and electroplating techniques that ensured void-free filling of high-aspect-ratio trenches and vias. These elements allowed for up to six levels of copper wiring at a minimum pitch of 0.63 μm, significantly reducing resistance compared to aluminum while maintaining electromigration reliability.Following the IEDM presentation, IBM entered high-volume manufacturing of copper interconnects in 1998, initially for PowerPC processors fabricated at their Burlington, Vermont facility. This marked the transition from research to commercial production, with the first chips shipping in September 1998. A notable example was the 400 MHz PowerPC 750 (also known as the G3 processor), which delivered a 33% performance increase over its 300 MHz aluminum predecessor and powered the 1998 Macintosh G3 computers, representing the first commercial CPU with copper interconnects. By integrating copper into enterprise systems like the S/390 G6 server in 1999, which featured 14 microprocessors and 1.4 billion transistors for a 50% performance boost, IBM demonstrated the technology's scalability for high-end applications.[12][2]The industry's adoption accelerated rapidly after IBM's lead, with Intel announcing its copper-based 0.13 μm (130 nm) process in November 2000, incorporating up to nine layers of copper interconnects alongside low-k dielectrics. This followed IBM's model and entered production for Pentium 4 processors by 2001-2002. By 2005, copper interconnects had become the standard for all advanced integrated circuits at nodes below 90 nm, driven by performance gains of 15-20% in speed and powerefficiency over aluminum, and were universally adopted by major foundries like TSMC and manufacturers including AMD and Samsung. This widespread shift solidified copper's role in enabling Moore's Law progression into the mid-2000s.[19][20]
Material Properties
Physical and Electrical Properties of Copper
Copper possesses several intrinsic physical properties that render it highly suitable for use as an interconnect material in integrated circuits. Its density is 8.96 g/cm³, providing a balance of mass and structural integrity in thin-film applications. The melting point of copper stands at 1085°C, allowing it to withstand the high-temperature processing steps involved in semiconductor fabrication without degrading. Furthermore, copper's exceptional ductility facilitates the production of high-purity films exceeding 99.99% via electrodeposition, enabling the formation of uniform, void-free deposits essential for reliable interconnects.[21][22][23]Electrically, copper exhibits a bulk resistivity of 1.68 μΩ·cm at 20°C, significantly lower than that of aluminum (approximately 2.65 μΩ·cm), which contributes to reduced power losses and improved signal integrity in circuits. The mean free path of electrons in bulk copper is approximately 39 nm at room temperature, a parameter that underscores the material's high conductivity but also highlights potential scattering limitations as interconnect dimensions approach this scale. These electrical characteristics stem from copper's free-electron-like behavior in its face-centered cubic lattice.Thermal properties further enhance copper's appeal for interconnects, with a thermal conductivity of 401 W/m·K that efficiently dissipates heat generated during device operation. The coefficient of thermal expansion is 16.5 × 10^{-6}/K, aiding compatibility with silicon substrates (around 2.6 × 10^{-6}/K) through careful process control to minimize stress-induced failures. Additionally, copper's Fermi energy of approximately 7 eV plays a key role in determining electromigration thresholds, as it governs the energy landscape for atomic diffusion under current stress.[24][25][26][27][28]
Interfacial Effects and Resistivity Scaling
In nanoscale copper interconnects, interfacial effects significantly degrade electrical performance as dimensions shrink to approach or fall below the electron mean free path of approximately 39 nm in bulk copper. Surface scattering at the sidewalls and top interfaces, along with grain boundary scattering within the polycrystalline structure, dominates the increase in effective resistivity, deviating markedly from bulk values. These phenomena are captured by semiclassical models that account for the probability of electron reflection or diffusion at boundaries, leading to reduced mean free paths and higher resistance in advanced nodes.The Fuchs-Sondheimer (FS) model describes surface scattering in thin films and nanowires by incorporating a specularity parameter p, which represents the fraction of electrons specularly reflected at the surface ( p = 1 for perfect specularity, p = 0 for complete diffusion). For rough interfaces typical in damascene-processed copper lines, p is approximately 0.5, resulting in diffuse scattering that elevates resistivity. The effective resistivity due to surface scattering is approximated by\rho_\text{eff} = \rho_\text{bulk} \left[ 1 + \frac{3\lambda}{8d} (1 - p) \right],where \rho_\text{bulk} \approx 1.7 \, \mu\Omega \cdot \text{cm} is the bulk resistivity, \lambda is the bulk electron mean free path, and d is the line width or thickness. This formulation highlights how resistivity scales inversely with dimension, with contributions becoming prominent when d \lesssim 4\lambda. Experimental evaluations of copper lines with widths down to 24 nm confirm the model's applicability, though adjustments for surface roughness (effective p < 0) are needed for sub-20 nm features.Grain boundary scattering is modeled by the Mayadas-Shatzkes (MS) framework, which treats boundaries as planar arrays of dislocations that partially reflect electrons, parameterized by a grain boundary reflection coefficient R (typically 0.16–0.17 for copper). The model predicts an additional resistivity term\rho_\text{GB} = \rho_\text{bulk} \left[ 1 + \frac{3}{2} \frac{\lambda}{D} \frac{R}{1 - R} \right],where D is the average grain size, often comparable to the line height in narrow interconnects. Combined FS-MS analyses of annealed copper films and lines show that these interfacial mechanisms can increase resistivity by 50–100% for dimensions below 20 nm, with grain boundaries contributing more at larger grains and surfaces dominating in confined geometries.As interconnect widths scale below 10 nm, sidewall and top-interface scattering intensify, pushing effective resistivity to 5–10 \mu\Omega \cdot \text{cm}, a 3–6-fold rise over bulk values due to the reduced conductive cross-section and enhanced diffuse scattering. In 3 nm nodes, representative of 2025 technology, copper lines exhibit 2–3 times the bulk resistivity, constraining signal speed and elevating power dissipation in high-performance logic devices. These scaling challenges underscore the need for interface engineering, such as smoother liners or alternative metals, to mitigate performance limits.
Fabrication Methods
Dual Damascene Process
The dual damascene process represents a cornerstone in the fabrication of copper interconnects, enabling the formation of both vias and trenches through sequential lithography and etching steps (such as via-first or trench-first approaches) in low-k dielectrics, such as silicon oxycarbide (SiCOH), to minimize process steps and costs while supporting high-density integration.[2][29] This approach, originally developed for oxide dielectrics but adapted for low-k materials to reduce capacitance, etches both vertical vias and horizontal trenches in one workflow, followed by copper filling and planarization.[30] Introduced by IBM in 1997 as part of their manufacturable copper-CMOS technology, it addressed key challenges in scaling interconnects beyond aluminum, facilitating reliable multilevel structures with up to 15 metal layers and aspect ratios greater than 10:1 for deep features.[12][31]The process commences with the deposition of a hard mask, typically silicon carbide nitride (SiCN), over the low-k dielectric stack, such as SiCOH with a dielectric constant around 2.7–3.0, to serve as an etch stop and protect underlying layers.[29] Patterning begins with via definition using photoresist lithography, followed by trench patterning in a via-first scheme, where the resist is exposed and developed to outline the interconnect features.[30]Etching proceeds via reactive ion etching (RIE) with a CF₄/O₂ plasma chemistry, which selectively removes the dielectric material to form high-aspect-ratio vias and trenches while halting at the hard mask or etch stop layer, ensuring precise control over feature dimensions.[32] Post-etch cleaning removes polymer residues and contaminants from the plasma process, typically using wet or dry methods to expose clean surfaces for subsequent metallization.[33]After patterning and cleaning, a thin barrier/liner layer is deposited conformally into the etched features, followed by a copper seed layer to enable electrodeposition.[29]Copper is then electroplated to overfill the vias and trenches, providing void-free metallization; this step relies on copper deposition techniques for uniform coverage in high-aspect-ratio structures.[30] The layer is completed by chemical mechanical polishing (CMP), which removes excess copper and dielectric above the field regions, achieving global planarization essential for stacking multiple interconnect levels without topography-induced defects.[2] This iterative sequence allows for the construction of complex, multilevel copper interconnects critical to modern integrated circuits.[12]
Copper Deposition Techniques
The primary technique for depositing copper into damascene features is electrochemical deposition (ECD), commonly performed using an acid sulfate bath composed of copper sulfate (CuSO₄) and sulfuric acid (H₂SO₄), with applied current densities typically in the range of 20–50 mA/cm². This process enables bottom-up filling of trenches and vias, starting from the seed layer at the feature bottom and proceeding upward to avoid voids. The bath chemistry, often including chloride ions as accelerators, ensures uniform deposition rates and high purity copper films with low resistivity, making ECD the industry standard since its adoption in the late 1990s.[34][35]Prior to ECD, a thin copper seed layer is required to facilitate nucleation and provide electrical conductivity for the plating process. This seed layer is conventionally deposited via physical vapor deposition (PVD) sputtering, achieving thicknesses of 5–20 nm to balance coverage and resistance while minimizing material usage in scaled features. For improved conformality in high-aspect-ratio structures, alternatives such as atomic layer deposition (ALD) of copper have been developed, offering superior sidewall coverage and reduced discontinuity risks compared to traditional PVD.[36][37]While ECD dominates for full feature filling, other methods like PVD sputtering are used for initial thick film deposition on flat surfaces, though they provide limited bottom coverage—typically less than 50% in high-aspect-ratio damascene trenches due to line-of-sight limitations. Chemical vapor deposition (CVD) offers low-temperature options for seed layers or partial fills, but similarly achieves filling efficiencies below 50% in narrow features, necessitating a hybrid approach with ECD for complete metallization.[38]A key advantage of ECD is its ability to achieve greater than 95% step coverage in high-aspect-ratio features (aspect ratios up to 10:1 or higher), ensuring void-free interconnects critical for reliable signal propagation in integrated circuits. This high coverage stems from the electrochemical kinetics that favor deposition at recessed areas, integrated after dual damascene patterning to fill etched trenches and vias seamlessly.[39][40]
Barrier Layers
Purpose and Diffusion Prevention
Barrier layers are essential in copper interconnects to mitigate the rapid diffusion of copper atoms into underlying silicon substrates and surrounding dielectric materials, which can severely compromise device performance. Copper exhibits high diffusivity in silicon due to its interstitial diffusion mechanism, with an activation energy as low as 0.42 eV, enabling fast migration even at moderate processing temperatures.[41] This diffusion introduces deep-level recombination centers within the silicon lattice, acting as traps for charge carriers and leading to reduced minority carrier lifetimes, increased leakage currents, and overall degradation of transistor characteristics.[42] In silicon dioxidedielectrics, copperdiffusion proceeds with a higher but still concerning activation energy of approximately 1.8 eV, particularly under thermal annealing conditions encountered during fabrication.[17]These barrier layers serve dual roles as effective diffusion barriers and adhesion promoters, ensuring robust interfacial bonding between the copper metallization and the dielectric, while preventing intermixing that could lead to electrical shorts or reliability failures. To accommodate the aggressive scaling in advanced integrated circuits, barriers must be highly conformal to uniformly coat high-aspect-ratio features and remain ultrathin, typically less than 5 nm at sub-10 nm nodes, to minimize their contribution to overall interconnect resistivity.[43] Without such barriers, copper can penetrate dielectrics extensively.[44] This ingress has been shown to induce significant threshold voltage shifts in MOSFETs, alongside reductions in transconductance due to interface state generation and charge trapping.[45]The preventive efficacy of barriers stems from their ability to impose a high activation energy for copper permeation, often exceeding 2 eV—such as approximately 2.2 eV in effective configurations—thereby slowing atomic migration to negligible rates under operational conditions. Additionally, certain barrier compositions act as oxygen getters, scavenging residual oxygen to inhibit copper oxidation at interfaces and maintain metallization integrity during deposition and annealing. These mechanisms collectively ensure long-term stability, with failure times extended by orders of magnitude compared to unbarriered systems.[46]
Materials and Deposition Methods
The most widely used barrier materials for copper interconnects are tantalum (Ta) and tantalum nitride (TaN) in a bilayer configuration, typically with a total thickness of 10-20 nm to ensure effective diffusion prevention while accommodating process margins.[47] The Ta layer, often deposited as the liner adjacent to copper, exhibits a resistivity of approximately 180 μΩ·cm in its beta phase and maintains thermal stability up to 600°C, allowing it to withstand typical backend-of-line annealing conditions without significant degradation.[48]TaN, serving as the primary diffusion barrier, provides robust adhesion to the underlying dielectric and complements Ta by forming a stable interface that inhibits coppermigration.[49]For advanced nodes below 10 nm, alternative materials such as titanium nitride (TiN), tungsten nitride (WN), and ruthenium (Ru) enable thinner barriers, typically under 10 nm, to reduce parasitic resistance in scaled structures.[50] TiN and WN offer good conformality and thermal endurance, with TiN demonstrating barrier efficacy up to 700°C in copper metallization tests.[51] Ru stands out for its superior copper adhesion due to favorable wetting properties and low resistivity of about 7 μΩ·cm, making it suitable for hybrid or barrierless schemes in sub-10 nm interconnects.[52][53]Deposition techniques for these materials prioritize uniformity and step coverage in high-aspect-ratio trenches and vias. Physical vapor deposition (PVD) via magnetron sputtering is the standard for Ta layers, providing dense films with controlled thickness, though it suffers from limited conformality in narrow features.[47] For TaN, atomic layer deposition (ALD) using precursors like tert-amylimidotantalum (TAIMATA) enables highly conformal coatings with growth rates around 0.4 Å per cycle, ideal for complex geometries.[54] In 7 nm nodes, ALD TaN barriers as thin as 2 nm have been integrated to minimize resistance contributions while preserving electromigration reliability.[55] Silicon nitride (SiN) caps, deposited atop copper lines to seal against oxidation and drift, are commonly applied via plasma-enhanced chemical vapor deposition (PECVD) for low-temperature compatibility and effective encapsulation.[56] These methods collectively ensure barrier integrity across fabrication flows, with ongoing refinements focusing on scalability and reduced thermal budgets.
Reliability Issues
Electromigration Mechanisms
Electromigration in copper interconnects arises from the momentum transfer between high-momentum conduction electrons and copper atoms, inducing a directed atomic flux along the direction of electron flow. This process is governed by the atomic drift velocity, expressed as v_d = \frac{D F}{k_B T}, where D is the diffusivity of copper atoms, F is the effective electromigration force (primarily Z^* e \rho j, with Z^* as the effective valence, e the electron charge, \rho the resistivity, and j the current density), k_B is Boltzmann's constant, and T is the temperature.[57] The divergence of this flux at sites of flux discontinuity, such as vias or line ends, leads to characteristic failure modes: voids nucleate and grow at the cathode end due to metal depletion, while hillocks or extrusions form at the anode end from metal accumulation.[58]A key mitigating phenomenon is the Blech length effect, where short interconnect segments exhibit immortality to electromigration below a critical threshold. In copper lines shorter than approximately 10–30 μm, the electromigration-induced stress gradient generates a back-stress force that opposes the electron wind force, halting net atomic diffusion when the product of current density and line length (j L) falls below a critical value.[59] This effect is particularly relevant for nanoscale copper interconnects, as it defines a minimum length for electromigration susceptibility.[60]For copper interconnects, the activation energy for electromigration is approximately 1.0 eV, higher than aluminum's 0.7 eV, enabling significantly longer lifetimes under comparable conditions.[61] Beyond the Blech threshold, rapid degradation occurs at high current densities under accelerated test conditions. In bamboo-grained structures, where grains span the line width to minimize transverse boundaries, electromigration lifetimes are extended compared to polycrystalline structures; however, in narrow lines, residual grain boundaries can degrade lifetime by providing fast diffusion paths.
Other Degradation Modes
Stress migration in copper interconnects arises from thermal expansion mismatches between copper and surrounding dielectric or barrier materials, leading to the buildup of hydrostatic stress during temperature changes. This stress induces atomic diffusion, primarily along interfaces or grain boundaries, resulting in void formation and potential cracks that degrade interconnect integrity. The process is particularly prominent during thermal cycling in multilevel interconnect stacks, where voids can form in 10-20% of lines after cyclic annealing tests between 150°C and 250°C, causing resistance shifts up to 10%. An activation energy of approximately 1.2 eV governs the grain boundary diffusion mechanism responsible for this voiding.[62]Time-dependent dielectric breakdown (TDDB) represents a critical failure mode in copper/low-k interconnects, where electric fields drive copper ion drift into the low-k dielectric, creating conductive paths that culminate in breakdown. This degradation weakens the dielectric, reducing the time-to-failure under operational stress; for instance, without adequate barrier layers, TDDB lifetimes can fall below 10 years at electric fields of 3-5 MV/cm due to accelerated ion migration. Models based on Cu ion drift predict that reliable operation requires field-to-breakdown strengths exceeding 4.2 MV/cm to ensure over a decade of service life at typical use conditions around 0.2-0.5 MV/cm.[63][64]Corrosion and oxidation of copper interconnects occur through interaction with moisture in non-hermetic packaging environments, where water facilitates electrolytic reactions such as anodic oxidation of Cu to Cu ions and cathodic reduction of water, forming oxides and potentially dendritic growth that shorts lines. High humidity levels above 50% exponentially increase leakage currents, exacerbating these effects and leading to metallization degradation. Mitigation strategies, including silicon nitride (SiN) caps, effectively limit moisture ingress and contaminant access, reducing corrosion susceptibility by factors of up to 5 under accelerated 85°C/85% RH conditions.[65]
Advanced and Future Developments
Superconformal Electrodeposition
Superconformal electrodeposition enables void-free filling of high-aspect-ratio copper interconnect features through a bottom-up growth mechanism driven by organic additives in the plating bath. Suppressors, such as polyethylene glycol (PEG), adsorb preferentially on the sidewalls and field regions to inhibit deposition there, while accelerators like bis(3-sulfopropyl) disulfide (SPS) activate growth at the feature bottoms by catalyzing copperionreduction. Levelers, exemplified by Janus Green B, further enhance this process by promoting deposition at regions of high curvature, such as concave surfaces, thereby suppressing overplating on convex areas and ensuring seamless seam-free filling.[66][67]The curvature enhanced adsorbate coverage (CEAC) model describes how deposition rates vary with surface geometry during electrodeposition. In this framework, the local growth rate increases at concave surfaces due to the enrichment of accelerator coverage, which is influenced by depletion gradients in the electrolyte that favor accumulation at feature bottoms. The model posits that as the interface evolves, changes in surface area lead to compressive effects on concave regions, boosting accelerator adsorption and thus accelerating bottom-up fill.[68]The local growth rate u is given byu = k \theta_{\text{acc}}where k is the rate constant and \theta_{\text{acc}} is the fractional coverage of the accelerator, which is higher at feature bottoms owing to these depletion gradients.[68]This technique has demonstrated void-free filling of trenches 90 nm wide and 500 nm deep at current densities of 5–20 mA/cm², making it essential for copper interconnects in sub-10 nm technology nodes where conformal deposition alone fails to prevent voids.[39]
Scaling Challenges and Alternatives
As copper interconnects scale below 10 nm, their effective resistivity increases sharply—often by a factor of 10 relative to bulk values—primarily due to intensified electron scattering from surfaces, grain boundaries, and thin-film effects.[69] Diffusion barriers and liners, which cannot scale below 3-4 nm without compromising reliability, occupy 50-70% of the cross-sectional volume in such narrow lines, leaving limited space for conductive copper and further elevating line resistance.[70] Via resistance exacerbates this, accounting for over 20% of total interconnect resistance at these dimensions, as the small contact areas amplify scattering and depletion effects.[71] Integrating air gaps to enable ultra-low-k dielectrics (k < 2.0) for capacitance reduction remains difficult, as the selective etching and sealing processes in copper damascene flows risk mechanical instability and yield loss in porous structures.[72]Recent advancements include ruthenium-cobalt (RuCo) alloy liners, which reduce liner thickness by approximately 33% compared to traditional materials, enabling reliable copper interconnects at 2 nm nodes.[5] At 2 nm nodes as of 2025, copper continues as the primary material for both local and global interconnects, with increasing use of cobalt (Co) or ruthenium (Ru) liners to mitigate electromigration; these liners enhance EM lifetime by a factor of 2-3 compared to traditional tantalum barriers by improving adhesion and reducing void formation.[73][69]Emerging alternatives address these limitations. Ruthenium, with a bulk resistivity of 7.1 μΩ·cm, requires no additional diffusion barrier due to its chemical stability, enabling fuller use of the interconnect volume and lower overall resistance below 17 nm critical dimensions.[69] For two-dimensional scaling, graphene and carbon nanotubes offer ballistic transport with resistivities below 1 μΩ·cm at nanoscale widths, allowing horizontal or vertical stacking without the volume loss from barriers.[74] Optical interconnects, using waveguides and photonic integration, serve as viable options for long-distance chip signaling, providing terabit-per-second bandwidth and reduced power dissipation over distances beyond 1 mm where copper incurs excessive attenuation.[75]Industry roadmaps and analyses as of 2025 project partial replacement of copper in local interconnects with materials like ruthenium by the early 2030s, potentially yielding up to 40% RC performance gains at 3 nm nodes through minimized scattering and barrier-free designs.[69]