Fact-checked by Grok 2 weeks ago

Zen 3

Zen 3 is a (CPU) developed by Advanced Micro Devices (AMD) as the successor to , introduced with the 5000 series desktop processors on November 5, 2020. Fabricated on TSMC's node, it emphasizes performance efficiency through a chiplet-based design that integrates multiple core complex dies (CCDs) via Infinity Fabric. The architecture delivers an average 19% uplift in instructions per clock () over , driven by enhancements in branch prediction, a wider execution engine, and optimized pipeline throughput. A defining feature of Zen 3 is its redesigned eight-core , which unifies 32 MB of L3 accessible to all cores within the , doubling the directly available L3 per core compared to and significantly reducing inter-core communication latency for latency-sensitive workloads like . This enables up to 16 cores and 32 threads in high-end desktop models such as the 9 5950X, with boost clocks reaching 4.9 GHz and support for PCIe 4.0 and DDR4-3200 memory. In server applications, Zen 3 powers the 7003 "" series, scaling to 64 cores per with up to 256 MB of L3 , PCIe 4.0 lanes, and improved for tasks. Mobile variants, including the 5000 "Cezanne" APUs, integrate Zen 3 cores with graphics for laptops, offering up to eight cores and enhanced integrated performance. Zen 3 marked a pivotal advancement for , establishing leadership in gaming performance upon launch while maintaining competitive productivity and multi-threaded capabilities against contemporaries like Intel's 10th and 11th-generation processors. Its innovations, including (SMT) and advanced prefetching, contributed to broad adoption across consumer, professional, and segments, with the architecture remaining relevant through refreshes like Zen 3+ in mobile products until the transition to Zen 4.

Development

Announcement and design goals

AMD first provided high-level details on Zen 3 during its "" processor launch event on August 7, 2019, confirming the would utilize TSMC's enhanced node (7 nm+) and continue the -based for scalability in high-core-count configurations. This revelation positioned Zen 3 as the successor to , with early roadmap updates emphasizing its role in extending 's competitive edge in both and markets through modular integration, allowing for efficient scaling beyond 16 cores without the manufacturing challenges of monolithic dies. The phase for Zen 3 was completed by mid-2019, with occurring later that year, targeting production readiness in 2020 while maintaining compatibility with existing AM4 sockets for variants. The development of Zen 3 was motivated by the need to address intensifying competition, particularly as faced repeated delays in transitioning to its , which hampered its ability to deliver competitive core counts and performance density. aimed to solidify its market leadership by focusing on single-threaded performance improvements critical for and workloads, leveraging the chiplet's flexibility to support up to 64 cores in applications like the upcoming "" without compromising efficiency. On October 8, 2020, formally unveiled at a dedicated , detailing key design goals including a 19% increase in instructions per clock () over , achieved primarily through a unified complex redesign that consolidated the L3 into a single 32 MB domain per eight- chiplet, reducing and enhancing branch prediction for better single-threaded uplift. This architecture targeted Zen 2-level clock speeds of up to 4.9 GHz while improving power efficiency, enabling higher sustained performance in latency-sensitive tasks without increasing significantly.

Manufacturing and release

The compute chiplets of Zen 3 processors were fabricated on TSMC's node, enabling high transistor density with each featuring 4.15 billion transistors across an area of approximately 80.7 mm². The I/O die, handling interconnects and peripheral interfaces, was produced using ' 12 nm process for desktop and mobile variants, or 14 nm for server implementations. This combination optimized performance in core logic while maintaining cost-effective I/O fabrication on a more established node. Engineering samples reached OEM partners in Q2 2020, allowing early validation and . The official launch followed on November 5, 2020, introducing the 5000 series desktop lineup, headlined by the 16-core 9 5950X at a launch MSRP of $799. Zen 3's modular architecture facilitated yield improvements through smaller, specialized dies that reduced defect rates during manufacturing. Cost efficiencies arose from reusing compatible compute chiplets and I/O dies across desktop, mobile, and server segments, streamlining production and minimizing variant-specific redesigns. Launch availability faced challenges from COVID-19-induced supply chain constraints, resulting in widespread shortages and elevated resale prices for 5000 processors in late 2020.

Architecture

Core microarchitecture

The Zen 3 implements a 4-wide superscalar, , building on the foundational design of prior Zen generations while introducing targeted refinements for improved throughput and efficiency. The consists of 19 stages, enabling deep speculation and high-frequency operation, while the floating-point is shortened to 4 stages to minimize in workloads. This configuration supports simultaneous multi-threading () with two threads per , allowing the to dispatch up to 6 micro-operations (μops) per cycle—typically 4 to the and 2 to the floating-point —facilitating balanced execution across diverse workloads. https://www.agner.org/optimize/microarchitecture.pdf https://en.wikichip.org/wiki/amd/microarchitectures/zen_3 Central to the execution are dual schedulers, each capable of handling up to 44 entries, that enable 4-wide dispatch to four logic units (ALUs) and three address generation units (AGUs). This setup allows for robust handling of operations, with branch execution supported by two dedicated units to maintain pipeline flow. The core is identified via function 0000_0001h, returning family 19h (model 01h or higher for Zen 3 variants), which distinguishes it from prior (17h) implementations. In desktop configurations, Zen 3 supports up to 8 cores per core complex (CCX), unifying access to shared resources within the complex for streamlined single-threaded performance. https://smartos.org/man/3cpc/amd_f19h_zen3_events https://en.wikichip.org/wiki/amd/microarchitectures/zen_3 https://wccftech.com/amd-zen-3-ryzen-4000-vermeer-cpus-detailed-up-to-16-cores-32-threads/ The represents a key enhancement, featuring three 256-bit fused multiply-add (FMA) units alongside two dedicated add pipes, delivering up to 24 floating-point operations per cycle for AVX2 instructions. This triple-FMA configuration reduces FMA latency to 4 cycles from 5 in , enabling higher throughput for vectorized compute tasks without AVX-512 support, which was introduced in subsequent architectures. Store-to-load forwarding latency is optimized at 5 cycles, supporting efficient data dependencies in numerical applications. https://www.realworldtech.com/forum/?threadid=195965&curpostid=195985 https://en.wikichip.org/wiki/amd/microarchitectures/zen_3 https://www.agner.org/optimize/microarchitecture.pdf Enhancements in the load/store unit boost memory operation throughput to 3 loads or 2 stores per cycle (up to 256 bits each), a step up from Zen 2's 2 loads and 1 store. Three AGUs facilitate parallel address calculations, with architectural shifts—such as relocating floating-point stores and FP-to-integer conversions to the load/store domain—reducing overall latency by 1-2 cycles for dependent operations. This design minimizes stalls in bandwidth-sensitive scenarios, contributing to the core's overall 19% instructions-per-clock () uplift over Zen 2. https://www.nextplatform.com/2021/03/26/deep-dive-into-amds-milan-epyc-7003-architecture/ https://forums.anandtech.com/threads/design-changes-in-zen-3-cpu-core-chiplet-only.2585982/

Chiplet design and interconnect

The Zen 3 utilizes a (MCM) design consisting of one or more compute s, known as core complex dies (s), connected to a central (I/O) die through AMD's Fabric interconnect. Each , fabricated on TSMC's node, integrates a single 8-core core complex (CCX) with 32 MB of unified L3 shared among all eight cores, departing from Zen 2's configuration of two 4-core CCXs per with separate 16 MB L3 slices. This shift eliminates the need for inter-CCX communication via Fabric for local accesses, thereby reducing average inter-core L3 within the compared to Zen 2's dual-CCX setup. The Infinity Fabric links between each CCD and the I/O die employ an on-package (IFOP) interface with 16 bidirectional lanes, operating at speeds up to the Infinity Fabric clock (FCLK) of 1.8 GT/s in typical configurations, delivering up to 32 bytes read and 16 bytes write per cycle at 1.8 GHz FCLK, for approximately 57.6 GB/s read and 28.8 GB/s write (aggregate ~86.4 GB/s) per . The I/O die, built on a 12 nm process for client processors and 14 nm for variants, manages essential system interfaces including the integrated supporting DDR4-3200 and up to 24 lanes of PCIe 4.0 for applications, while implementations expand to 128 PCIe 4.0 lanes. This design integrates the I/O die with a mesh topology of Infinity Fabric routers to efficiently route traffic among multiple s and external peripherals, enhancing overall system coherence and scalability. In server configurations, such as the "" processors on the , the architecture supports up to eight per package, enabling a maximum of 64 cores while maintaining low-latency access to shared resources via the central I/O die and Infinity Fabric mesh. Each Zen 3 contains approximately 4.15 billion transistors, contributing to the dense integration of eight high-performance cores and their associated within a compact 83 mm² die area. This approach allows to scale core counts flexibly while optimizing manufacturing yields by isolating compute logic on advanced nodes separate from the I/O functions on more mature processes.

Key features and improvements

Performance enhancements

Zen 3 achieves an average 19% increase in instructions per clock () over , with gains reaching up to 25% in certain integer-heavy workloads such as and tasks. This uplift stems primarily from enhancements in the core's engine, including a larger reorder expanded to 256 entries from 224 in , which allows for greater speculation depth and reduced stalls during instruction retirement. Additionally, improved branch misprediction recovery and speculation mechanisms contribute to higher throughput in pipelines, enabling more efficient handling of complex paths. A key contributor to the IPC gains is the overhauled branch prediction unit, featuring a doubled L1 branch target buffer (BTB) size of 1024 entries compared to 512 in , alongside an enlarged BTB with 6656 entries. Zen 3 retains the perceptron-based predictor introduced in earlier generations but benefits from higher prediction bandwidth and "zero-bubble" prediction for direct branches, resulting in improved accuracy over in branch-intensive benchmarks. These changes minimize bubbles from mispredictions, particularly in workloads with frequent conditional branches, boosting overall execution efficiency. Execution enhancements further amplify performance, with dispatch and issue widths increased to 6-wide from 4-wide in , allowing up to 10 integer operations per cycle via additional ports. Floating-point handling is improved through dedicated ports for FP stores and conversions, alongside a reduced FMA latency of 4 cycles (down from 5), supporting up to 6 FP μOPs dispatched per cycle. handling is optimized for dual threads per core, with better resource allocation reducing contention in mixed workloads. These tweaks, combined with the unified design, enable 15-20% better , facilitating sustained higher boost clocks up to 4.9 GHz on single cores.

Cache and memory subsystem

The in Zen 3 processors follows a three-level design per , with private L1 and caches and a shared L3 cache at the complex level. Each features a 32 KiB cache (L1I) that is 8-way set associative and a 32 KiB data (L1D) that is also 8-way set associative, both supporting 64-byte lines for efficient fetch and data access. These L1 caches employ a write-back policy and provide low-latency access critical for , with typical hit latencies around 4 cycles for both and data accesses. The private cache per is 512 KiB and 8-way set associative, also with 64-byte lines, serving as a unified cache for L1 evictions and extending the effective capacity for frequently accessed . hit is approximately 12 cycles, balancing size and speed to support the 's execution while minimizing pressure on higher levels. At the complex (CCX) level, Zen 3 unifies the L3 cache into a single 32 MB shared structure for all eight cores in the complex, a key change from Zen 2's split design that reduces inter-core by providing uniform access. This L3 cache is 16-way set associative with 64-byte lines and operates as a cache, capturing evicted from L2 to maintain data locality; access within the CCX is around 34-40 cycles, enabling faster shared retrieval compared to prior generations. The memory subsystem integrates a dual-channel DDR4 controller on the I/O die, supporting up to DDR4-3200 with a theoretical bandwidth of 51.2 /s, which enhances overall system throughput for memory-intensive workloads. This configuration leverages the Infinity Fabric interconnect for efficient data movement between the I/O die and compute chiplets, prioritizing bandwidth improvements over in multi-core scenarios. Zen 3 introduces optional 3D V-Cache in select variants, stacking an additional 64 MB of L3 vertically on the core complex die using through-silicon vias (TSVs) for a total of 96 MB per eight-core CCX, targeted at applications to further reduce cache miss rates and through increased and direct access paths.

Specifications

Processor tables

The Zen 3 processors encompass a range of desktop and server models without integrated graphics, emphasizing high-performance computing across segments. Desktop variants utilize the AM4 socket and deliver 24 total PCIe 4.0 lanes, of which 20 are usable for devices like GPUs and NVMe storage, while supporting unlocked multipliers for overclocking on applicable models. Server configurations employ the SP3 socket and provide up to 128 PCIe 4.0 lanes per processor for expansive I/O scalability. The following table summarizes key specifications for representative processors based on the Zen 3 :
ModelCores/ThreadsBase Clock (GHz)Boost Clock (GHz)L3 (MB)TDP (W)
9 5950X16/323.44.964105AM4
9 5900X12/243.74.864105AM4
7 5800X8/163.84.732105AM4
5 5600X6/123.74.63265AM4
Server processors in the 7003 series scale to higher core counts for applications, as shown in the representative models below:
ModelCores/ThreadsBase Clock (GHz)Boost Clock (GHz)L3 Cache (MB)TDP (W)
776364/1282.453.5256280SP3
754332/642.803.70256225SP3
744324/482.854.00128200SP3
730316/322.403.4064130SP3
A notable variant is the 7 5800X3D, which incorporates V-Cache technology to expand the L3 cache for enhanced gaming performance; it features 8 cores/16 threads, a 3.4 GHz base clock, up to 4.5 GHz boost, 96 MB L3 cache, 105 W TDP, and AM4 socket, launching on April 20, 2022.

APU tables

Zen 3-based APUs, codenamed Cezanne and its refresh Barcelo, combine up to eight Zen 3 CPU cores with integrated Radeon Vega graphics targeted at mobile client devices such as laptops and thin clients. These APUs utilize a monolithic die design fabricated on TSMC's 7 nm process, supporting DDR4-3200 or LPDDR4x-4266 memory and featuring soldered BGA packaging for compact, power-efficient form factors. The integrated graphics employ the Vega (GCN 5th generation) architecture with configurations ranging from 6 to 8 compute units (CUs), delivering up to 512 stream processors clocked as high as 2.1 GHz in higher-power variants. These iGPUs include multimedia engines with VCN 3.0 support for hardware-accelerated AV1 video decode, enabling efficient playback of modern video formats without discrete GPUs. Power scaling across these accommodates diverse laptop designs, with configurable (TDP) from 10 W in ultra-low-power U-series models for thin-and-light devices to 54 W in H-series and configurable HX variants for performance-oriented systems. All models feature unlocked multipliers for in supported platforms, though actual power limits are OEM-configurable via cTDP.
ModelCores/ThreadsBase Clock (GHz)Boost Clock (GHz)iGPU (CUs @ Peak GHz)TDP (W)
7 5800U (Cezanne)8/161.94.4 8 (8 @ 2.0)15BGA, soldered
5 5600U (Cezanne)6/122.34.2 7 (7 @ 1.8)15BGA, soldered
3 5400U (Cezanne)4/82.64.0 6 (6 @ 1.6)15BGA, soldered
7 5825U (Barcelo)8/162.04.5 8 (8 @ 2.0)15BGA, soldered
ModelCores/ThreadsBase Clock (GHz)Boost Clock (GHz)iGPU (CUs @ Peak GHz)TDP (W, configurable)
9 5980HS (Cezanne)8/163.04.8 8 (8 @ 2.1)35BGA, soldered
7 5800H (Cezanne)8/163.24.4 8 (8 @ 2.0)45BGA, soldered
9 5980HX (Cezanne)8/163.34.8 8 (8 @ 2.1)45 (up to 54)BGA, soldered
7 5825HS (Barcelo)8/163.04.5 8 (8 @ 2.0)35-54BGA, soldered

Products

Desktop processors

The Zen 3-based desktop processors, codenamed Vermeer for non-integrated graphics models, were released under the 5000 series branding starting in November 2020, targeting high-performance consumer PCs with unlocked multipliers for in the 5000X variants. These processors utilize the AM4 socket and require updates on compatible motherboards to enable full support. Integrated graphics variants, such as the Ryzen 5 5600G from the related Cezanne lineup, provide entry-level GPU capabilities for budget builds without discrete cards. Configurations in the Ryzen 5000 desktop lineup scale up to 16 cores and 32 threads in the flagship Ryzen 9 5950X, emphasizing multi-threaded workloads like content creation while maintaining strong single-threaded performance for everyday tasks. Backward compatibility extends to 300- and 400-series motherboards, including X370 and B450 chipsets, following manufacturer-provided BIOS firmware updates that add official Zen 3 support. Post-launch, the Ryzen 5000 series quickly dominated the desktop gaming market, capturing significant share from competitors through superior IPC gains and overall performance leadership in benchmarks. The Ryzen 7 5800X3D variant, introduced in April 2022, further amplified this by stacking additional 3D V-Cache to reach 96 MB of L3 cache, delivering substantial frame rate improvements—often exceeding 15% in cache-sensitive titles like simulation-heavy games—due to reduced latency in data access. In early 2025, extended the platform's lifecycle by announcing six new 5000G SKUs targeted at budget-oriented OEM systems, including the Ryzen 7 5705G (8 cores, up to 4.6 GHz boost) and lower-tier options like the Ryzen 3 5305G, all leveraging Zen 3 cores with integrated graphics for cost-effective AM4 upgrades. These additions sustain the series' relevance for entry-level desktops amid ongoing AM4 ecosystem support.

Mobile processors

The Zen 3-based mobile processors primarily utilize the Cezanne architecture, integrated into the 5000 series for laptops, targeting ultrabooks and high-performance portable devices. The U-series variants, such as the Ryzen 7 5800U with 8 cores and 16 threads at a 15 W TDP, emphasize low-power operation for thin-and-light ultrabooks, delivering up to 4.4 GHz boost clocks and 16 MB of L3 for efficient multitasking. In contrast, the H-series, exemplified by the Ryzen 9 5900HX with similar core counts but a configurable 45 W+ TDP, caters to creators and gamers in thicker chassis, supporting boost clocks up to 4.6 GHz for demanding workloads like and . These adaptations incorporate advanced thermal management, including dynamic voltage scaling and fine-grained , to balance performance within mobile form factors' constrained cooling envelopes. For embedded mobile applications, such as thin clients and compact systems, employs the Barcelo-R architecture, a Zen 3 variant optimized for reliability in low-power environments. These processors feature higher base clocks—up to 2.7 GHz in models like the 3 5425C—and support configurable TDPs as low as 10 W, enabling deployment in fanless designs with sustained performance for office productivity and light . Integrated Radeon Vega graphics provide basic visual acceleration, suitable for embedded displays without GPUs. Zen 3 mobile implementations achieve up to 20% better power efficiency compared to Zen 2 equivalents at equivalent performance levels, primarily through a 19% increase in instructions per clock (IPC) and unified 16 MB L3 cache per core complex, reducing latency and power draw during idle and light loads. This translates to notable battery life extensions, with the Ryzen 7 5800U offering up to 17.5 hours of general usage and 21 hours of video playback on a standard laptop battery. These gains also enable support for Windows 11 features like DirectStorage, leveraging the architecture's PCIe 3.0 lanes for faster asset loading in compatible games and applications. Adoption of Zen 3 mobile processors peaked in premium laptops from major OEMs like , , and between 2021 and 2023, powering devices such as the and series for professional and creative workflows. By 2025, these chips persist in budget-oriented models, including entry-level handhelds and refurbished systems, benefiting from ongoing updates and compatibility with modern OSes.

Server processors

The AMD EPYC 7003 series processors, codenamed and based on the , represent the primary implementation for enterprise and environments, scaling up to 64 cores and 128 threads per with support for 8-channel DDR4 at speeds up to 3200 MT/s and 128 PCIe 4.0 lanes. These processors utilize a chiplet-based design with multiple compute dies connected via Infinity Fabric, enabling high core density while maintaining efficient inter-die communication for demanding workloads. EPYC 7003 supports dual-socket configurations on the SP3 socket, interconnected through up to four xGMI links, which facilitates scalable systems for large-scale computing. NUMA optimizations, configurable via settings to support 1 to 8 nodes per socket, enhance access locality and I/O , making it particularly suitable for cloud and virtualized environments where workload distribution across sockets is critical. Reliability features in the 7003 include enhanced capabilities, such as advanced in the 8 Universal Memory Controllers operating in 6-way interleave mode, alongside secure memory encryption via Secure Nested Paging and Keys for user-level isolation. These processors also provide approximately 10% better compared to the prior EPYC 7002 generation in benchmarks like High-Performance Linpack on high-end models, contributing to lower in power-constrained data centers. Launched in 2021, the 7003 series saw rapid adoption by hyperscalers including cloud providers for general-purpose server deployments due to its performance leadership and scalability. As of 2025, these processors remain in use for cost-effective applications, supported by ongoing optimizations in containerized and environments that leverage their efficiency for edge and enterprise tasks.

Embedded processors

The AMD Ryzen Embedded V3000 series processors, built on the microarchitecture, are designed for rugged, long-lifecycle systems, with configurations such as the Ryzen Embedded V3C48 providing 8 cores and 16 threads at a 45 W TDP within the broader series' 10-54 W power envelope. Unlike previous V-series processors, the V3000 series does not include integrated , targeting applications where GPUs or no graphics are used. These processors emphasize reliability for 24/7 operations, offering up to 10 years of planned availability to support extended deployment cycles in industrial environments. Key features include an extended range of -40°C to 85°C on select SKUs like the V3C18I, enabling deployment in harsh conditions such as outdoor or factory settings. Additionally, the series provides up to 20 lanes of PCIe 4.0 connectivity, allowing integration of high-speed add-in cards for , networking, or expansion in compact systems, including discrete for display needs. These processors find applications in industrial PCs for and , as well as systems requiring robust I/O capabilities. In 2025, production of the V3000 series continues to sustain legacy deployments, with ongoing security updates ensuring compatibility, while serving as a transitional option ahead of Zen 4-based embedded solutions like the Ryzen Embedded 7000 series.

Zen 3+

Architectural changes

Zen 3+ represents a process-optimized refresh of the , primarily targeted at mobile applications to enhance power efficiency without altering performance. The maintains the same as Zen 3 but leverages advancements in to reduce power consumption, making it suitable for battery-constrained devices. A key change is the shift to TSMC's 6 nm (N6) process node from the previous 7 nm (N7), enabling up to a 30% reduction in power usage for tasks like video conferencing while delivering comparable or better performance. This shrink improves overall per watt, with the design retaining compatibility with existing 7 nm ecosystem elements such as socket interfaces for mobile platforms. The monolithic die implementation in Zen 3+ further optimizes power delivery and thermal management compared to chiplet-based desktop variants. Memory support sees upgrades to DDR5-4800 and LPDDR5-6400, providing up to 102 GB/s of dual-channel —approximately 1.5 times higher than prior generations' DDR4/LPDDR4X configurations. This enhancement boosts data throughput for integrated graphics and multitasking without requiring changes to the core from Zen 3. Additional refinements include an improved I/O subsystem with optional support for faster connectivity (up to 40 Gbps) and enhanced clocking for the integrated graphics, reaching up to 2.2 GHz to leverage the denser process node. These tweaks focus on peripheral efficiency and display output without impacting CPU core metrics. Zen 3+ was announced on January 4, 2022, with products available starting February 2022, serving as an interim solution to bridge to the more comprehensive architecture, with a strong emphasis on mobile power optimization.

Product implementations

The Zen 3+ architecture found its primary implementation in AMD's Ryzen 6000 series mobile processors, codenamed Rembrandt, which were released in early 2022 and targeted premium laptops with enhanced power efficiency and integrated graphics. These APUs utilize a TSMC 6nm process node for improved performance-per-watt, supporting up to eight Zen 3+ cores and 16 threads, along with DDR5/LPDDR5 memory and PCIe 4.0 connectivity. The integrated Radeon graphics, based on the RDNA 2 architecture, deliver up to 2.1 times the graphics performance of the prior generation, enabling better handling of creative workloads and light gaming without discrete GPUs. A flagship example is the 9 6980HX, featuring eight cores and 16 threads with a base clock of 3.3 GHz and boost up to 5.0 GHz, paired with a 680M iGPU comprising 12 compute units, all within a configurable 45W TDP . This configuration supports adaptive , including states that help save power during idle periods compared to Zen 3, making it suitable for thin-and-light premium ultrabooks. The series also introduced hardware-accelerated video decoding in the iGPU, improving efficiency for high-resolution streaming and video playback. Low-power variants, often referred to as Rembrandt-R configurations, extended Zen 3+ to ultrathin laptops and handheld devices through the U-series, such as the 7 6800U with eight cores and 16 threads clocked from 2.7 GHz base to 4.7 GHz boost, integrated 680M graphics, and a 15-28W TDP range. These models prioritize battery life, offering up to 29 hours of video playback while maintaining decode support for modern . Their compact design and efficiency enhancements made them ideal for portable form factors like convertible handhelds and entry-level creator devices. While Zen 3+ was predominantly a mobile-focused evolution, extended the broader Zen 3 on the through a limited refresh of the 5000 series as the 5000XT lineup in mid-2024, featuring higher boost clocks on the existing 7nm Zen 3 cores—such as the Ryzen 7 5800XT at up to 4.8 GHz—without architectural changes to Zen 3+. These rebadged models supported the AM4 platform's DDR4 memory exclusively, with no native DDR5 integration, aiming to prolong affordability for legacy users. By 2025, Zen 3+ implementations like the 6000 series continued to appear in OEM laptops, particularly in budget and mid-range segments, where manufacturers rebranded or refreshed older stock to meet demand for cost-effective, efficient . In October 2025, rebranded select Zen 3+ processors as the 100 series for ongoing use in entry-level devices. This legacy support extended the ecosystem's relevance in entry-level ultrabooks and commercial devices, leveraging the architecture's mature power efficiency for extended battery life in everyday tasks.

References

  1. [1]
    AMD Makes Zen 3 Official: Ryzen 5000 Promises 19% Better IPC ...
    Oct 8, 2020 · These revisions are coming soon and will be available for all 500-series motherboards by the November 5th launch date. AMD originally announced ...
  2. [2]
    AMD "Zen" Core Architecture
    “Zen” is our hybrid, multi-chip architecture that enables AMD to decouple innovation paths and deliver consistently innovative, high-performance products. With ...
  3. [3]
    AMD EPYC™ 7003 Series Processors
    AMD EPYC™ 7003 Series Processors have set a standard for performance and efficiency for mainstream data center servers. Customers that have done extensive ...AMD EPYC™ 7763 · AMD EPYC™ 7773X · AMD EPYC™ 7713
  4. [4]
    Updated AMD Roadmaps Provide Zen 3 Status, Zen 4 And RDNA2 ...
    Sep 12, 2019 · AMD revealed it has finished the design phase of Zen 3, which will be built on an enhanced 7nm+ manufacturing process.
  5. [5]
    AMD announce future specifications and roadmap for EPYC ...
    Oct 6, 2019 · Zen 3 Milan chips will offer the same 64 core maximum as the current generation and be supported by the same SP3 socket type. Milan is set to ...
  6. [6]
    AMD Ryzen 9 5950X and 5900X Review: Zen 3 Breaks the 5 GHz ...
    Rating 4.5 · Review by Paul AlcornNov 26, 2020 · The chiplets have been redesigned, however, and now measure ~80.7mm^2 and have 4.15 billion transistors. That's slightly larger than Zen 2's ...
  7. [7]
    [PDF] AMD CHIPLET ECOSYSTEM
    Dec 9, 2024 · Cost-effective: Smaller chiplets have higher manufacturing yields, reduce waste, and lower costs. Chiplets can be produced in the most ...Missing: reuse | Show results with:reuse
  8. [8]
    Scalping Pricing Trends Suggest AMD's Ryzen 5000 Supply Is ...
    Feb 17, 2021 · That unprecedented demand, coupled with pandemic-induced supply chain shortages, has led to shortages of not only AMD's flagship Ryzen 5000 ...
  9. [9]
    Pushing AMD's Infinity Fabric to its Limits - Chips and Cheese
    Nov 25, 2024 · CCDs connect to the IO die using an Infinity Fabric On-Package (IFOP) interface. A CCD's IFOP link provides 32 bytes per cycle of read ...
  10. [10]
    AMD "Zen 4" Dies, Transistor-Counts, Cache Sizes and Latencies ...
    Aug 30, 2022 · The transistor-count of the "Zen 4" CCD is 6.57 billion, a whopping 58 percent increase from that of the "Zen 3" CCD and its 4.15 billion transistor-count.
  11. [11]
  12. [12]
    Zen 3 - Microarchitectures - AMD - WikiChip
    Zen 3 is a microarchitecture developed by AMD as a successor to Zen 2. It was publicly released on October 8, 2020. Mainstream Desktop processors hit shelves ...Process technology · Codenames · Architecture
  13. [13]
    Measuring Zen 3's Bottlenecks - Chips and Cheese
    Jul 23, 2021 · The three most common reasons for Zen 3 to stall out on the backend are the ROB filling, the Load Queue filling, and the Store Queue fill, with ...Missing: generation | Show results with:generation
  14. [14]
    AMD Zen 3 Ryzen 5000 Price, Benchmarks, Specs and More
    Jun 15, 2022 · AMD's Zen 3 features a ground-up rethinking of the microarchitecture that finally allowed it to take the 1080p gaming performance lead from ...
  15. [15]
    Deep Diving Zen 3 V-Cache - by George Cozma - Chips and Cheese
    Jan 21, 2022 · This is the deeper dive of AMD's V-Cache that we teased with our short latency article and we will be covering a little more on the latency front.
  16. [16]
    Ryzen 5000 RAM Guide: Find The Best RAM For Your Zen 3 CPU
    Mar 15, 2021 · We recorded a 5.8% performance difference between DDR4-2133 and DDR4-3200, the native frequency supported on Zen 3. Our test results confirmed ...
  17. [17]
    AMD 3D V-Cache™ Technology
    Innovative 3D stacking technology enables faster AMD Ryzen™ gaming processors and high performing AMD EPYC™ server processors for technical computing.
  18. [18]
    AMD "Zen 3" 3D Vertical Cache Detailed Some More - TechPowerUp
    Aug 6, 2021 · The 3DV Cache die is an SRAM chip fabricated on the same 7 nm process as the "Zen 3" CCD. It measures 6 mm x 6 mm (36 mm²), and is located above ...Missing: details | Show results with:details
  19. [19]
    Processor Specifications - AMD
    Processor Specifications. Compare up to 4 products. Compare Clear Copy link. 10, 25, 50. entries per page. Search: Name. All, X940, Sempron™ 3850 APU with ...AMD Ryzen Threadripper 9960X · AMD Ryzen Threadripper 9980X<|control11|><|separator|>
  20. [20]
    [PDF] amd ryzen™ 3000 and 5000 series processors
    And AMD Ryzen processors deliver. These processors offer up to 16 high-performance cores for powerful parallel processing. High frequencies of up to 4.9GHz help.
  21. [21]
    [PDF] AMD EPYC 7003 Processors (Data Sheet)
    AMD EPYC 7003 series processors offer 8- and 16-core options, 'Zen 3' cores, up to 64 cores, DDR4 memory, and PCIe Gen 4 I/O, with high energy efficiency.
  22. [22]
    AMD EPYC™ 7303
    PCI Express® Version: PCIe® 4.0 x128 ; System Memory Type: DDR4 ; Memory Channels: 8 ; System Memory Specification: Up to 3200 MT/s ; Per Socket Mem BW: 204.8 GB/s ...
  23. [23]
    AMD Ryzen™ 7 5800X3D Gaming Processor
    The AMD Ryzen 7 5800X3D has 8 cores, 16 threads, 4.5 GHz boost, 3.4 GHz base, 96MB L3 cache, 15% more gaming performance, and 105W TDP.
  24. [24]
    AMD Launches the Ultimate Gaming Processor, Brings Enthusiast ...
    Mar 15, 2022 · Today, AMD announced this new processor will be on-shelf globally beginning April 20, starting at an SEP of $449 USD. New Mainstream AMD Ryzen ...
  25. [25]
    Cezanne - Cores - AMD - WikiChip
    4 to 8 Zen 3 x86 CPU cores with 2-way SMT. 4,096-entry Op cache, 2 × 32 KiB L1, and 512 KiB L2 cache per core · Support for DDR4-3200 and LPDDR4-4266 memory.
  26. [26]
    AMD Radeon RX Vega 8 (Ryzen 4000/5000) GPU - Notebookcheck
    The GPU is based on the Vega architecture (5th generation GCN) and has 8 CUs (= 512 of the 704 shaders) clocked at up to 1,750 MHz (Ryzen 7 4800U) or 2,100 MHz ...Missing: compute | Show results with:compute
  27. [27]
    AMD Ryzen 5000 Mobile Series Specifications Leaked
    Dec 8, 2020 · AMD Ryzen 3 5400U Zen 3 Cezanne 4 cores / 8 threads 2.6 GHz base 4.0 GHz boost 6 CU @ 1.6 GHz 8 MB L3 cache 10-25 W cTDP. AMD Ryzen 5 5500U
  28. [28]
    AMD's Ryzen 5000 Barcelo APUs Surface In HP's New Laptops
    Nov 15, 2021 · Unless AMD has changed its recipe for mobile Ryzen chips (which we doubt), Barcelo will come with the same core counts and L3 cache as Cezanne.
  29. [29]
    We're Exploring Supporting Ryzen 5000 on 300-Series Motherboards
    Jan 6, 2022 · AMD's David McAfee tells us that the company is exploring how to add support for Ryzen 5000 to older motherboards.
  30. [30]
    AMD Ryzen 5000 CPUs Allegedly Can Run On Aging A320 And ...
    Nov 7, 2020 · In theory, a BIOS update to let some X370 motherboards work with Ryzen 5000 series CPUs should be possible, aside from Brko's claims.<|control11|><|separator|>
  31. [31]
    Ryzen to the top: How AMD innovated in the gaming CPU market
    Sep 5, 2025 · By late 2020, AMD's Ryzen 5000 series processors had solidified the company's position as a formidable challenger to Intel's long-standing ...
  32. [32]
    AMD Lists Six "New" Ryzen 5000G SKUs, Zen 3's Lifespan ...
    Feb 25, 2025 · The "newly" listed AM4 models are: Ryzen 7 5705GE, Ryzen 7 5705G, Ryzen 5 5605GE, Ryzen 5 5605G, Ryzen 3 5305GE and Ryzen 3 5305G. The familiar ...
  33. [33]
    AMD breathes life into Ryzen 5000G family with six new chips
    Feb 24, 2025 · AMD quietly released six new Zen 3 Cezanne chips recently. As X user Everest shared, these chips will come in the flavors of Ryzen 3, Ryzen 5, and Ryzen 7.
  34. [34]
    AMD Announces World's Best Mobile Processors¹ In CES 2021 ...
    Jan 12, 2021 · New laptops powered by Ryzen 5000 Series Mobile processors will be available from major PC manufacturers including ASUS, HP and Lenovo, ...Missing: 2021-2023 | Show results with:2021-2023
  35. [35]
    AMD Ryzen 5000 Mobile 'Cezanne' SoC Deep Dive: Zen 3 Powers ...
    Jan 26, 2021 · The Ryzen 5000 mobile die spreads 10.7 billion 7nm TSMC transistors across 180mm2 of silicon, with the core complexes, L3 cache, and GPU in ...
  36. [36]
    2025 Gaming Laptop with AMD Ryzen7 5000 Series(Up to 4.3 GHZ ...
    30-day returnsAMD Ryzen7 Processor: MALLRACE Windows 11 laptop is equipped with AMD Ryzen7 5000 Series with 8 cores and 16 threads at up to 4.3 GHz, which is able to easily ...
  37. [37]
    Overview of AMD EPYC 7003 Series Processors Microarchitecture ...
    Overview of AMD EPYC 7003 Series Processors Microarchitecture (70619) - Document 70619 - 70619 ... Release Date: 2022-03-21. Back to home page.
  38. [38]
    [PDF] Data Plane Development Kit Tuning Guide for AMD EPYC 7003 ...
    AMD EPYC 7003 Series Processors are built with the leading-edge “Zen 3” core and AMD Infinity Architecture. The AMD. EPYC SoC offers a consistent set of ...
  39. [39]
    AMD Milan - BIOS Characterization for HPC
    Mar 30, 2021 · For HPL (Figure 12) we observed that, on higher end Processor Models, Milan delivers 10% better performance than Rome. As expected, on the Milan ...
  40. [40]
    AMD EPYC™ 7003 Series CPUs Set New Standard as Highest ...
    Mar 15, 2021 · The new EPYC 7003 series processors help HPC, cloud and enterprise customers do more, faster, by delivering the best performance of any server ...Missing: adopted hyperscalers
  41. [41]
    AMD unveils new line of EPYC processors for HPC
    Mar 16, 2021 · In particular, the high core density offered by the EPYC 7003 series will likely make the technology appealing to hyperscale cloud providers, ...
  42. [42]
    AMD @ MWC 2025: Advancing AI, From the Enterprise to the Edge
    Feb 26, 2025 · Attendees who are interested in further Kubernetes optimizations can consult the AMD tuning guide for EPYC 9004 and EPYC 7003 Series processors.
  43. [43]
    AI Inference - Neural Servers
    4–6 day deliverySupports AMD EPYC 7003 and 7002 Series Processor, Socket SP3 · Supports up to 1,024GB LRDIMM, 512GB RDIMM · 8 x EDSFF E1.S Drive Trays (PCI-E 4.0 x4), 4 x GPGPU ...<|control11|><|separator|>
  44. [44]
    AMD Ryzen Embedded V3000 Series
    Power Efficiency & Packaging. Up to 8 high performance “Zen3” x86 cores; 10-54W TDP range ; Strong Integration. Up to 20L PCIe® Gen4; Dual 10Gb Ethernet MAC ...
  45. [45]
    AMD Launches Ryzen Embedded V3000 Series Processors ...
    Sep 27, 2022 · AMD Ryzen Embedded V3000 processors are available in four-, six- and eight-core configurations with low thermal design power (TDP) profiles ...Missing: Barcelo | Show results with:Barcelo
  46. [46]
    AMD Ryzen™ Embedded V3000 - ADLINK Technology
    Industrial-Grade Reliability •Rugged operating temperature range from -40°C to 85°C •Shock & vibration certification. Versatility •Generic and vertical focused ...
  47. [47]
    AMD Ryzen™ Embedded Family
    Enhancing customer interactions with responsive, visually rich experiences. Ryzen Embedded processors enable immersive digital signage, interactive kiosks, and ...AMD Ryzen Embedded V3000 · AMD Ryzen Embedded 7000 · Learn More
  48. [48]
    AMD Embedded Processors Vulnerabilities – February 2025
    Potential vulnerabilities in AMD Embedded processors were reported, and mitigations are being provided through Platform Initialization (PI) firmware packages.
  49. [49]
    AMD Unveils New Ryzen Mobile Processors Uniting “Zen 3+” core ...
    Jan 4, 2022 · The AMD Ryzen 7 5800X3D will be available for Socket AM4 later in Spring 2022. “Zen 4” Core and Socket AM5 AMD previewed its Ryzen 7000 Series ...<|control11|><|separator|>
  50. [50]
    AMD Unveils 6nm Ryzen 6000 'Rembrandt' Chips With Zen 3+ ...
    Jan 4, 2022 · ... Zen 3+ CPU architecture and RDNA 2 integrated graphics fabbed on TSMC's 6nm node. The new Rembrandt chips also reach speeds up to 5.0 GHz ...
  51. [51]
    AMD Introduces USB 4 Support in Chipset Update for Ryzen 6000 ...
    Jun 29, 2022 · AMD has released a new chipset driver updated centered around updating Ryzen 6000 mobile. The biggest update is USB4 support for Windows 10 ...
  52. [52]
    AMD Ryzen 5 PRO 6650H Specs | TechPowerUp CPU Database
    The AMD Ryzen 5 PRO 6650H is a mobile processor with 6 cores, launched in April 2022. It is part of the Ryzen 5 lineup, using the Zen 3+ (Rembrandt) ...
  53. [53]
    AMD Unveils New Ryzen Mobile Processors Uniting “Zen 3+” core ...
    Jan 4, 2022 · The “Zen 3+” core delivers power and efficiency, through new adaptive power management features that adjust speed quickly, and new deep sleep ...
  54. [54]
    AMD Zen3+ Architecture and Ryzen 6000 "Rembrandt" Mobile ...
    Feb 18, 2022 · The "Rembrandt" silicon has one Zen 3+ CCX (CPU core complex) with 8 CPU cores. Each of these has 32 KB of L1I and 32 KB of L1D caches; a ...
  55. [55]
    A Leap Ahead in Power and Efficiency: AMD Ryzen™ 6000 Series ...
    With over 50 new and enhanced features to optimize efficiency, “Zen 3+” has even deeper control over every processing thread's power level and clock speed.
  56. [56]
    AMD Ryzen 9 6980HX Processor - Benchmarks and Specs
    Jan 4, 2022 · The R9 6980HX integrates all eight cores based on the Zen 3+ microarchitecture. They are clocked at 3.3 (guaranteed base clock) to 5 GHz (Turbo) ...
  57. [57]
    AMD 6nm Ryzen 6000 'Rembrandt' SoC Deep Dive - Tom's Hardware
    Feb 17, 2022 · The Infinity Fabric (data fabric) can now drop into enhanced sleep states when it isn't busy, and the memory and fabric can dynamically adjust ...<|control11|><|separator|>
  58. [58]
    AMD Ryzen 7 6800U Processor - Benchmarks and Specs
    Jan 4, 2022 · AMD Ryzen 7 6800U ; Clock Rate, 2700 - 4700 MHz ; Level 1 Cache, 512 KB ; Level 2 Cache, 4 MB ; Level 3 Cache, 16 MB ; Number of Cores / Threads, 8 / ...
  59. [59]
    AMD Ryzen™ 7 6800U​ Drivers and Downloads | Latest Version
    Graphics Model: AMD Radeon™ 680M ; Graphics Core Count: 12 ; Total Graphics Shaders: 768 ; Graphics Frequency: 2200 MHz ; GPU Max. Memory: 2 GB.
  60. [60]
    AMD Ryzen 7 6800U Review – with ASUS ZenBook S 13 OLED
    Jul 29, 2022 · Ryzen 6000 offers up AMD's improved support for H264, H265, and AV1 – all at high resolutions and often high refresh rates.
  61. [61]
    AMD Ryzen™ 7 5800XT
    Free 30-day returnsThe AMD Ryzen 7 5800XT has 8 cores, 16 threads, up to 4.8 GHz boost clock, 3.8 GHz base clock, 105W TDP, and 128GB max memory.
  62. [62]
    AMD shores up its budget laptop CPUs by renaming more years-old ...
    Oct 28, 2025 · As spotted by Tom's Hardware, AMD has quietly rebranded a swath of its Ryzen laptop chips with new model numbers without changing the silicon.
  63. [63]
    Ryzen 6000 Laptop - Amazon.com
    4.5 17K · 30-day returns2025 Laptop Computer,15.6 inch Gaming Laptop, Ryzen 5 7430U, Radeon Graphics, 16 GB RAM,1TB SSD, Wi-Fi 5, Long Battery Life, Win 11 Pro & Offic, Backlit ...