Fact-checked by Grok 2 weeks ago

10 nm process

The 10 nm process is a semiconductor manufacturing technology node characterized by transistor gate lengths and other critical features measuring approximately 10 nanometers, representing a significant advancement in integrated circuit fabrication that enables higher transistor densities, enhanced performance, and reduced power consumption compared to prior 14 nm and 16 nm nodes. This node primarily employs FinFET (Fin Field-Effect Transistor) architectures to mitigate short-channel effects and improve electrostatic control, alongside multi-patterning lithography techniques—such as quadruple or triple patterning—to achieve the required precision, as extreme ultraviolet (EUV) lithography was not yet mature for widespread adoption at this scale. Key benefits include up to 2.7 times greater transistor density over 14 nm processes, 20-30% performance gains, and 30-40% power reductions, facilitating more efficient mobile, computing, and AI applications. Major foundries pursued distinct implementations of the 10 nm process, with leading in commercialization by initiating of 10 nm FinFET system-on-chips (SoCs) on October 17, 2016, offering 27% higher performance or 40% lower power than its 14 nm predecessor through advanced 3D structures and triple-patterning for greater design flexibility. began risk production in late 2015 and entered volume manufacturing in early 2017 for clients like Apple and , emphasizing competitive area efficiency and integration of novel dielectric schemes to minimize capacitance. , originally targeting high-volume manufacturing in 2016, encountered yield challenges from complex multi-patterning (up to six exposures), delaying revenue shipments until early 2018 for its Cannon Lake processors and achieving full volume in 2019, with enhanced versions like Intel 7 (10 nm SuperFin) still experiencing demand exceeding supply as of November 2025 amid capacity conversions to newer nodes, ultimately delivering 100 million s per square millimeter and features like cobalt interconnects for improved reliability. opted to skip dedicated 10 nm development, jumping directly to 7 nm research in 2016 to focus resources on mature nodes amid competitive pressures; however, it suspended 7 nm development in 2018. The 10 nm node marked a transitional era in scaling, bridging planar transistors to more advanced structures, though variations in density and performance across foundries—such as Intel's 100.8 million transistors/mm² versus Samsung's initial 52 million—highlighted non-standardized naming conventions where "10 nm" often reflected marketing rather than literal dimensions. Its adoption accelerated designs for smartphones and servers, but delays and costs spurred rapid evolution toward 7 nm and below, with EUV integration in later iterations.

Introduction

Definition and nomenclature

The 10 nm process refers to a generation of semiconductor manufacturing technology used to fabricate integrated circuits, particularly metal-oxide-semiconductor field-effect (MOSFETs), where key interconnect and features are scaled to dimensions around 10 nanometers. This follows the 14 nm process in the progression outlined by the International Technology Roadmap for Semiconductors (ITRS), emphasizing improvements in transistor density, power efficiency, and performance through advancements like FinFET architectures. In practice, the "10 nm" label functions as an industry marketing term rather than a literal measurement of any specific physical dimension, such as gate length. While ITRS guidelines predicted a physical gate length of around 7 nm for high-performance logic, actual implementations, such as Intel's, featured gate lengths of approximately 18 nm—larger than the node designation—highlighting the decoupling from exact geometry. Originating from ITRS guidelines, the nomenclature ties the node to metrics like the metal-1 (M1) half-pitch for logic processes, approximately 10 nm, but it broadly signifies equivalent scaling that includes non-dimensional enhancements beyond pure size reduction. This decoupling from exact geometry allows manufacturers to highlight overall process improvements without strict adherence to a single feature size. The term's application differs between logic and memory technologies. For logic nodes used in CPUs and GPUs, "10 nm" emphasizes performance and integration , often measured in millions of transistors per square millimeter. In contrast, for like , the "10 nm class" (e.g., designations such as 1x, 1y, or 1z) specifically references the half-pitch of memory cell arrays, while employs it for 3D-stacked layer counts rather than planar scaling. This distinction arises because prioritizes and per bit, whereas focuses on speed and function complexity. Node naming conventions evolved historically from direct correlations with physical features, such as gate length in nodes up to about nm, to more abstract indicators of and performance metrics thereafter. This shift, accelerated post- nm due to scaling limitations like quantum effects and manufacturing variability, enabled continued progress under without implying proportional dimensional reduction. For example, Intel's implementation of the 10 nm process achieves a logic of approximately 100 million transistors per square millimeter, illustrating the focus on areal efficiency over precise linear scales.

Significance in Moore's law scaling

The 10 nm process represented a pivotal advancement in sustaining Moore's law, which posits that the number of transistors on a chip roughly doubles every two years, driving exponential improvements in computing power. By achieving approximately a 2.7-fold increase in transistor density over the preceding 14 nm node—reaching up to 100.8 million transistors per square millimeter—this node enabled the fabrication of smaller dies with significantly higher core counts, thereby extending the trajectory of performance scaling without proportionally increasing chip area. This density leap was essential for maintaining the economic and technological momentum of semiconductor evolution, as it aligned with the predicted doubling of integration levels. Performance enhancements at the 10 nm node further underscored its role in progression, delivering roughly 25% higher speed at iso-power or a 45% reduction in power consumption for equivalent performance compared to 14 nm processes. These gains stemmed from improved efficiency and reduced parasitic capacitances, allowing chips to operate faster or more efficiently in power-constrained environments. analyses highlight that such improvements typically translated to 20-30% performance boosts or 35-45% power savings across benchmarks, bolstering applications requiring high computational throughput. Economically, the 10 nm process lowered the cost per despite elevated fabrication expenses for advanced tools and materials, making it viable for widespread deployment in SoCs, CPUs, and accelerators. This cost efficiency accelerated adoption by enabling more transistors per dollar, which in turn fueled innovations in energy-efficient and data-intensive workloads. At the same time, the node served as a critical where classical 2D planar scaling encountered fundamental physical limits, prompting reliance on 3D architectures like FinFET to preserve density and efficiency gains.

Technological Aspects

Transistor architecture and materials

The 10 nm process universally adopted (tri-gate) transistors as the standard architecture for high-performance logic, replacing planar MOSFETs to better control short-channel effects and enable continued scaling. These tri-gate structures feature three-dimensional fins that wrap around the , improving gate control and reducing leakage compared to planar designs. Typical dimensions include a fin pitch of approximately 30-42 nm and a gate length of around 18-20 nm, allowing for tighter packing while maintaining electrostatic integrity. Material advancements were critical to achieving performance targets at this node. High-k metal gate (HKMG) stacks, in their fifth generation of refinement, replaced traditional SiO<sub>2</sub> dielectrics with materials like HfO<sub>2</sub> to reduce gate leakage while supporting scaling below 1 nm. channels, enhanced through seventh-generation techniques such as embedded SiGe for pMOS and tensile for nMOS, boosted carrier by up to 30% over unstrained , mitigating velocity saturation issues. emerged as a key contact , forming low-resistance interfaces with and reducing source/drain contact resistivity by approximately 60% compared to nickel-platinum silicides used in prior nodes. To minimize parasitic effects, the 10 nm process introduced self-aligned contacts (), where contact metals are etched and filled after gate formation, enabling overlap with the gate without misalignment and reducing . Air-gapped interconnects were selectively implemented in local metal layers to lower by up to 17%, achieved by decomposing the after patterning to create voids that act as low-k (k≈1) regions without compromising mechanical stability. These innovations collectively addressed delay challenges as feature sizes shrank. Transistor density at the 10 nm node scaled approximately as \rho \propto \frac{1}{L_g \cdot W_{eff}}, where L_g is the gate length (∼10-20 nm) and W_{eff} is the effective channel width determined by fin height and number. This relation, adapted from classical MOSFET scaling, explains the roughly 2× density improvement over prior planar generations by reducing L_g and optimizing W_{eff} through multi-fin configurations, though FinFETs partially decouple width scaling from density via vertical fin stacking.

Lithography and fabrication techniques

The 10 nm semiconductor process predominantly utilized 193 nm enhanced by techniques to overcome the resolution limits of deep (DUV) light in the pre-extreme ultraviolet (EUV) era, enabling the patterning of features with effective half-pitches as small as 40 nm. This approach involved sequential litho-etch steps, where a single exposure was decomposed into multiple masks to double or quadruple the pattern density, particularly for critical layers like fins and metal lines. For instance, quadruple patterning was applied to achieve the tight spacing required for local interconnects, mitigating the diffraction constraints of 193 nm wavelengths by introducing self-aligned spacers and trim etches. To address the complexities of , directed (DSA) of block copolymers was introduced as a complementary technique in pilot implementations, offering a bottom-up method to refine patterns beyond traditional optical limits for sub-10 nm features. DSA leverages the natural of materials guided by pre-patterned templates to form precise nanostructures, such as line-space arrays for contact holes or vias, reducing the need for additional masks in select 10 nm variants. In parallel, early EUV pilots emerged for metal layers in later iterations of the 10 nm process, utilizing 13.5 nm wavelength sources to simplify patterning by enabling single-exposure comparable to quadruple DUV, though limited by source power and availability during initial adoption. Fabrication sequences in the 10 nm node incorporated (ALD) for conformal gate stack formation, depositing high-k dielectrics and work-function metals layer-by-layer to ensure uniformity over three-dimensional FinFET structures with thicknesses below 5 nm. Selective processes followed, employing plasma-based techniques to anisotropically trim fins to widths around 7-10 nm while preserving adjacent materials, thus defining the channel dimensions critical for -all-around control. (CMP) was integral for post-deposition planarization, using abrasive slurries to achieve sub-nanometer surface roughness across inter-layer dielectrics and metals, preventing topography-induced defects in subsequent patterning steps. These techniques confronted significant challenges, including maintaining overlay accuracy below 2 nm (3σ) across multiple exposures to align patterns without shorting or misalignment in dense arrays, and achieving defect densities under 0.1 defects per cm² to ensure viable yields. Advanced and process controls, such as scatterometry for edge placement error monitoring, were essential to mitigate variations and pitch-walking errors inherent in .

Logic Process Nodes

Intel's 10 nm implementation

Intel's 10 nm process represents a significant advancement in manufacturing, achieving a logic density of 100.8 million transistors per square millimeter (MTr/mm²), which is 2.7 times higher than its preceding 14 nm . This density metric, based on Intel's measurements, positions the process as highly compact for logic applications, enabling more efficient integration of components in integrated circuits. The architecture relies on third-generation FinFET transistors, featuring a 54 nm gate pitch and 36 nm minimum metal pitch, which support up to 25% better performance and 45% lower power consumption compared to 14 nm equivalents. Additionally, incorporated for local interconnects in the finest metal layers ( and ) to address challenges and reduce via resistance by up to 2x, marking the first high-volume use of cobalt in such layers despite its higher resistivity than . The initial implementation of Intel's 10 nm process debuted in 2018 with the Cannon Lake family of mobile processors, serving as a limited-production entry point to validate the node in real-world devices. Subsequent refinements followed, including the 10 nm+ variant in 2019 for the Ice Lake processors, which introduced minor optimizations for mobile and server applications, and the 10 nm SuperFin enhancement in 2020. SuperFin, Intel's key upgrade to the 10 nm lineup, featured taller fins, improved contact structures, and a thinner barrier for interconnects that reduced resistance by 30%, delivering an intranode performance uplift of approximately 18-20% over prior 10 nm versions while maintaining the same density. This variant powered the Tiger Lake mobile processors, emphasizing higher clock speeds and efficiency for client computing. Although Intel planned a transition to RibbonFET gate-all-around transistors as a successor to FinFET for future nodes, the 10 nm process remained firmly based on FinFET architecture across all variants, with RibbonFET implementations deferred to later technologies like Intel 20A. In terms of competitiveness, Intel's 10 nm density is roughly equivalent to TSMC's N7 (, which achieves around 96-100 MTr/mm², but Intel's design emphasizes higher drive currents in high-performance cells to support superior single-threaded performance metrics.

TSMC and Samsung 10 nm processes

TSMC's 10 nm process, designated as N10, achieved a transistor density of approximately 55 million s per square millimeter (MTr/mm²). The company entered risk in the first quarter of and began high-volume in early , enabling rapid adoption in applications. This node supported variants tailored for and low-power requirements, with the N10P low-power option optimizing energy efficiency for battery-constrained devices. Samsung developed its 10 nm family with the initial 10LPE (Low Power Early) variant entering in October 2016, followed by the enhanced 10LPP (Low Power Plus) in April 2017. The 10LPP process delivered a density of around 58 MTr/mm². While primarily relying on , Samsung incorporated early optimizations that paved the way for () lithography in subsequent nodes, including reduced complexity for contact layers. A key distinction between the two foundries lies in their customer ecosystems and application focus. TSMC's N10 was prominently used in mobile system-on-chips (SoCs), such as Apple's A11 Bionic processor for the , emphasizing seamless integration for high-volume consumer devices. In contrast, Samsung's 10 nm processes powered its own 8895 SoC for the S8 series and Qualcomm's Snapdragon 835, which featured in select regional variants of the same devices, highlighting Samsung's dual role as both and chip designer. Both TSMC and Samsung's 10 nm nodes provided roughly a 30% reduction in die area compared to their preceding 16/14 nm generations, alongside improvements in performance and power efficiency that advanced mobile computing capabilities. This scaling enabled denser integration of components like CPUs, GPUs, and modems without proportional increases in power draw.

GlobalFoundries and other efforts

GlobalFoundries initially pursued a 10 nm process as part of its technology roadmap following the 2014 acquisition of IBM's microelectronics business, which included commitments to develop 10 nm semiconductors for IBM's server processors. However, in 2016, the company announced it would skip the 10 nm node entirely, opting instead to jump directly to 7 nm development to accelerate progress and avoid what it described as a marginal "half-node" improvement. This decision was driven by the escalating capital intensity of advanced node development, with estimates indicating costs exceeding $10 billion to bring a new process like 10 nm to high-volume manufacturing, compounded by challenges in achieving viable yields without extreme ultraviolet (EUV) lithography, which relies on costly multi-patterning techniques at that scale. By 2018, further halted its 7 nm program indefinitely, citing unsustainable financial demands and lengthy development timelines that threatened the company's viability without guaranteed returns. The pivot shifted focus to optimized 12 nm and 7 nm-class processes tailored for analog, radio-frequency (RF), and specialty applications, such as embedded memory and , rather than competing in high-volume markets dominated by rivals. This strategic refocus allowed to leverage existing fabs for differentiated offerings, including 22FDX silicon-on-insulator technology, emphasizing performance-per-watt efficiency in niche sectors like automotive and . Other efforts in the 10 nm space were similarly limited. IBM contributed partially through early alliances, such as its 2013 collaboration with United Microelectronics Corporation (UMC) on 10 nm CMOS development, but produced no standalone 10 nm process after divesting its semiconductor operations to GlobalFoundries. Semiconductor Manufacturing International Corporation (SMIC), a key Chinese foundry, lagged significantly behind global leaders in advanced nodes, beginning mass production of 14 nm FinFET chips around 2020 and achieving 7 nm production in late 2022 using deep ultraviolet (DUV) lithography, though limited by low yields and volumes due to U.S. export restrictions on extreme ultraviolet (EUV) tools and other advanced equipment. The legacy of ' 10 nm research endures in its subsequent low-power process optimizations, where insights into FinFET scaling and materials informed enhancements to 12 nm platforms for RF and mixed-signal applications, enabling better integration in and devices without pursuing bleeding-edge density.

Production History

Key milestones and timelines

Intel announced its 10 nm process node in 2014 as part of its manufacturing roadmap, with an initial target for volume production in 2016. By 2016, commenced risk production of its N10 (10 nm) process, enabling early validation for customer designs. In the same year, Samsung achieved the first for 10 nm logic chips, advancing toward system-on-chip implementations. In , Samsung began mass production of its second-generation 10 nm process variant, 10LPP, offering improved performance and power efficiency over the initial 10LPE. transitioned to volume shipments of N10 chips that year, supporting high-volume mobile applications. Meanwhile, Intel delayed its 10 nm production ramp to 2018 due to yield challenges. Intel shipped its first 10 nm-based processors, the Cannon Lake family, in low volumes in 2018, primarily for mobile segments. In the same year, halted advanced node development, having skipped dedicated 10 nm efforts in to focus on 7 nm before opting for enhancements to its 12/14 nm nodes instead of leading-edge scaling. From 2017 to 2020, 10 nm processes saw widespread adoption in mobile devices, exemplified by Apple's A11 Bionic chip in the using TSMC's 10 nm and Samsung's 9810 in the S9 series on its 10 nm LPP. By 2025, the 10 nm process had matured into a reliable across manufacturers, with enhancements such as Intel's 10 nm SuperFin introduced in 2020 for products like , and further optimizations under 7 for later generations like in 2023, delivering significant intra-node improvements in performance and density.

Challenges in yield and adoption

One of the primary technical hurdles in the 10 nm process was achieving acceptable yields, particularly for 's , where initial suffered from low yields due to the of quadruple patterning techniques required to pattern features without () lithography. This multi-patterning approach, involving multiple exposure steps, led to high defect densities from overlay errors and process variations, delaying high-volume . By 2019, reported significant improvements in yields as process optimizations and learning curves took effect, enabling broader deployment in products like Ice Lake processors. Economic barriers further complicated adoption, as the delay in EUV readiness forced reliance on deep (DUV) with multi-patterning, which escalated mask set costs due to the need for multiple, precisely aligned masks. This increased fabrication expenses compared to prior nodes, straining budgets for integrated device manufacturers () like that bore full development risks. In contrast, pure-play foundries such as mitigated these costs through high-volume mobile contracts, amortizing investments over large-scale production runs. Adoption faced substantial delays, exemplified by Intel's over two-year slippage from its original 2016 target to 2019 volume ramp-up, allowing competitors like to introduce 7 nm nodes by 2018 and capture market share in . These setbacks created internal pressures for , as prolonged 14 nm reliance eroded competitive edges in power efficiency and density. From a 2025 perspective, the 10 nm process has become cost-effective for legacy and mid-range applications, with mature yields supporting ongoing use in systems, though it is largely overshadowed by sub-5 nm nodes driving innovation in and sectors.

DRAM 10 nm Class

Definition and generational progression

The 10 nm class in DRAM fabrication refers to a category of process nodes characterized by a half-pitch ranging from 10 to 19 , a that measures half the center-to-center distance of repeating memory features such as active areas. This is distinct from logic nodes, as it prioritizes the scaling of height, bitline , and wordline dimensions to maintain while shrinking overall footprint, enabling higher bit densities without immediate reliance on entirely new architectures. The focus on these elements addresses the unique challenges of , where maintaining sufficient charge storage in shrinking cells drives innovations in materials and patterning, rather than gate length reductions seen in logic. The generational progression within the 10 nm class began with the 1x generation around 2016, featuring a half-pitch of approximately 17 nm (within 16-19 nm range), marking the initial entry into sub-20 nm scaling for commercial production. This was followed by the 1y generation in 2017 at about 15 nm half-pitch (14-16 nm), the 1z in 2019 at roughly 13 nm (12-14 nm), and the 1a/1α in 2021-2023 targeting around 12-14 nm. Subsequent advancements include the 1b/1β generation entering production in 2024 with half-pitches near 12 nm, and the 1c/1γ in 2025 aiming for 10-11 nm, representing the sixth iteration in this lineage. These steps reflect iterative refinements in (EUV) lithography and multi-patterning to achieve tighter pitches while managing variability, with EUV adoption becoming prominent from 1a onward to reduce patterning complexity. Each generation typically delivers about a 1.3x increase in bit density over the prior one, driven by reduced sizes and optimized layouts, though exact gains vary by . For instance, the 1z generation achieved densities around 0.25 Gb/mm² in DDR4 configurations, supporting 16 Gb dies with enhanced performance metrics like up to 3,200 Mbps transfer rates. Later generations, such as 1b and 1c, introduce precursors to stacking, including taller capacitors and vertical channel explorations, to extend scaling beyond planar limits and prepare for future multi-layer architectures amid slowing two-dimensional shrinks.

Implementations by major manufacturers

Samsung pioneered the 10 nm class with its first-generation 1x in 2016, enabling high-density solutions integrated into various applications. This was followed by the 1z in 2019, which supported 8 DDR4 with enhanced performance and power efficiency for premium uses. By 2025, advanced to the sixth-generation 1c , achieving yields exceeding 70% and positioning it for HBM4 production, with mass production ramps supporting and high-bandwidth needs. SK Hynix introduced its 1y process in 2017 as part of the early 10 nm class progression, focusing on density improvements for mainstream . In 2025, the company achieved a milestone with the 1c process, the world's first sixth-generation 10 nm class technology tailored for DDR5 16 Gb chips, emphasizing AI-optimized performance and . This development allowed for up to 30% power savings in applications compared to prior generations. Micron's 1α , the fourth in the 10 nm and launched in , enabled high-density DDR5 solutions with significant advancements in speed and low power for and uses, including support for 24 Gb dies. The company emphasized domestic U.S. manufacturing to bolster . Looking ahead, Micron announced plans in 2025 to skip further 10 nm refinements and transition directly to a 9 nm by 2026, accelerating beyond the current node. These implementations found applications in DDR4 and DDR5 for , LPDDR5 for devices, and HBM3/HBM4 for GPU in AI workloads by 2025. In 2025, all three manufacturers—, , and Micron—reached maturity in their 1c or equivalent 1γ processes, achieving areal densities around 0.3-0.4 Gb/mm² to meet escalating demands for high-capacity .

References

  1. [1]
    Intel Now Packs 100 Million Transistors in Each Square Millimeter
    ... 10 nanometers. For those uninitiated in semiconductor lingo, the 10 nm designation is a reference to the “node” or manufacturing technology used to make ...
  2. [2]
    Intel's 10nm Node: Past, Present, and Future - EE Times
    Jun 15, 2020 · Intel's 10nm node will have been in HVM for about a couple of years in the second half of 2021, when Intel's 7nm production starts to ramp.
  3. [3]
    Samsung Starts Industry's First Mass Production of System-on-Chip ...
    Oct 17, 2016 · SoCs with 10nm process technology will be used in digital devices launching early next year and are expected to become more widely available ...
  4. [4]
    10nm FinFET Market Heats Up - Semiconductor Engineering
    Oct 16, 2016 · Then, TSMC recently introduced its 10nm process, with plans to move into production by the fourth quarter of 2016. Now, Samsung Electronics said ...
  5. [5]
    GF Puts 7nm On Hold - Semiconductor Engineering
    Aug 27, 2018 · “Due to slower-than-expected progress on yields, Intel stated that volume production of 10nm processors has been pushed out to 2H19 from prior ...
  6. [6]
    Intel Finds Moore's Law's Next Step at 10 Nanometers
    At 10 nm, the company aims to introduce two of these deminodes (10 nm+ and 10 nm++) before it introduces its next manufacturing generation at 7 nm.
  7. [7]
    [PDF] 2013 EDITION - Semiconductor Industry Association
    Page 1. THE INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS: 2013. LINK TO ITRS 2013 FULL EDITION DETAILS. INTERNATIONAL. TECHNOLOGY ROADMAP. FOR.
  8. [8]
    No More Nanometers - EEJournal
    Jul 23, 2020 · Intel held the line from “10 micron” in 1972 through “0.35 micron” in 1995, an impressive 23-year run where the node name matched gate length.
  9. [9]
    [PDF] Semiconductors and the Semiconductor Industry - Congress.gov
    Apr 19, 2023 · Semiconductors (also known as integrated circuits, microelectronic chips, or computer chips) are tiny electronic devices (based primarily on ...Missing: nomenclature | Show results with:nomenclature
  10. [10]
    A Better Way to Measure Progress in Semiconductors - IEEE Spectrum
    Jul 21, 2020 · For example, transistors made using the so-called 130-nm node actually had 70-nm gates. The result was the continuation of the Moore's Law ...<|control11|><|separator|>
  11. [11]
    Intel 10 nm Process Increases Transistor Density by 2.7x Over 14 nm
    Jun 29, 2018 · The achievement of a 2.7-times increase in transistor density over the current 14 nm node, enabling Intel to cram up to 100.8 million transistors per square ...
  12. [12]
    Intel's New 10 nm Process: The Wind in our Sails | FPGA CPU News
    Mar 29, 2017 · Intel's new 10 nm process almost triples the capacity of new integrated circuits, so that the performance and capabilities of our systems can again “leap ahead”
  13. [13]
    14nm 16nm 10nm and 7nm - What we know now - SemiWiki
    Apr 7, 2017 · The initial 10nm process has 25% better performance or 0.56x better active power than the initial 14nm process. Interestingly both the 10 and 10 ...
  14. [14]
    imec magazine January 2017- Semiconductor scaling
    Jan 1, 2017 · FinFET technology has been the killer device for the 14 and 10nm technology nodes. But for the 7-5nm, An Steegen foresees challenges. “At these ...
  15. [15]
    A 10nm high performance and low-power CMOS technology ...
    The transistors feature rectangular fins with 7nm fin width and 46nm fin height, 5th generation high-k metal gate, and 7th-generation strained silicon. Four or ...
  16. [16]
    [PDF] A Quick Look at 14-nm and 10-nm Devices - NCCAVS Usergroups
    ▫ Minimum gate length 18 nm, gate width ~97 nm with 46 nm fin height, cf ... ▫ SAQP minimum fin pitch ~33 nm, fin width ~6 nm, functional gate height ...Missing: architecture | Show results with:architecture
  17. [17]
    Strain engineering in functional materials | AIP Advances
    Mar 21, 2019 · Strain engineering is one of the key aspects to improve transistor performance. In this review, we describe strain engineering in silicon based advanced CMOS ...
  18. [18]
    IEDM 2017 + ISSCC 2018: Intel's 10nm, switching to cobalt ...
    Feb 17, 2018 · Air gaps were used in order to improve the capacitance at the two performance-critical local interconnect layers. The air gaps provided 17% ...
  19. [19]
    FinFET scaling to 10 nm gate length - IEEE Xplore
    In this paper we report the design, fabrication, performance, and integration issues of double-gate FinFETs with the physical gate length being aggressively ...Missing: process | Show results with:process
  20. [20]
    Logic, Transistor Structure, page 2-Research-Taiwan ...
    FinFETs also enabled a partial decoupling of the transistor density scaling from device effective width scaling, which is an important feature for attaining ...Missing: ∝ L_g * W_eff)
  21. [21]
    Pushing multiple patterning in sub-10nm: are we ready?
    Due to elongated delay of extreme ultraviolet lithography (EUVL), the semiconductor industry has been pushing the 193nm immersion lithopgrahy using multiple ...
  22. [22]
    [PDF] Pushing Multiple Patterning in Sub-10nm: Are We Ready? - Yibo Lin
    Jun 7, 2015 · Due to the fundamental optical resolution limit, the 193nm immersion lithography can only achieve the minimum pitch. (i.e., mininum width + ...
  23. [23]
    Directed self-assembly of block copolymers for sub-10 nm fabrication
    Aug 12, 2020 · Directed self-assembly (DSA) emerges as one of the most promising new patterning techniques for single digit miniaturization and next generation lithography.
  24. [24]
    Directed Self Assembly of Block Copolymers for Nanopatterning | NIST
    Sep 7, 2018 · Directed Self Assembly (DSA) combines top-down and bottom-up patterning for sub-10 nm nanostructures, using templates to guide block copolymer  ...
  25. [25]
    Single Vs. Multi-Patterning EUV - Semiconductor Engineering
    Mar 25, 2019 · EUV promises to solve the problem. For example, 193nm/multi-patterning requires three exposures to process the critical metal layers at 7nm.
  26. [26]
    Atomic layer deposition of sub-10 nm high-K gate dielectrics on top ...
    In this study, sub-10 nm, uniform and pinhole-free Al 2 O 3 high-K gate dielectrics on MoS 2 were achieved by atomic layer deposition without surface ...
  27. [27]
    Formation of sub-10 nm width InGaAs finFETs of 200 nm height by ...
    Fin width is controlled by atomic layer epitaxial (ALE) growth and by semiconductor selective crystallographic wet etching. We further demonstrate self-aligned ...
  28. [28]
    CMP - Semiconductor Manufacturing Process - HORIBA
    CMP uses chemical oxidation and mechanical abrasion to remove material, achieving high planarity. It uses slurries with nano-sized abrasives in acidic or basic ...
  29. [29]
    Making lithography work for the 7-nm node and beyond in overlay ...
    Aug 1, 2015 · Overlay accuracy, resolution, defect, and cost are identified as the major challenges to extend lithography to the 7-nm node and beyond.
  30. [30]
    Patterning challenges in the sub-10 nm era - SPIE Digital Library
    Mar 28, 2016 · This paper will describe some of these challenges in more detail, and suggest directions for future research to keep optical lithography relevant even below ...Missing: pre- | Show results with:pre-
  31. [31]
    [PDF] Intel's 10 nm Technology: Delivering the Highest Logic Transistor ...
    Cost Per Transistor​​ These smaller dimensions enable a logic transistor density of 100.8 mega transistors per mm2, which is 2.7x higher than Intel's previous 14 ...
  32. [32]
    IEDM 2017 + ISSCC 2018: Intel's 10nm, switching to cobalt ...
    Feb 17, 2018 · At IEDM Intel made a surprise announcement with 10nm using cobalt interconnect for the first time in high-volume manufacturing.
  33. [33]
    Intel's 10nm Node: Past, Present, and Future - Part 2 - EE Times
    Jun 17, 2020 · Keeping in mind that premium Tiger Lake CPUs are larger than premium Ice Lake CPUs, it looks like Intel's 10nm yields are gradually increasing.
  34. [34]
    Ice Lake (microprocessor) - Wikipedia
    Produced on the second generation of Intel's 10 nm process, 10 nm+, Ice Lake is Intel's second microarchitecture to be manufactured on the 10 nm process, ...
  35. [35]
    10nm SuperFin Technology, Advanced Packaging Roadmap
    Aug 13, 2020 · The 10nm SuperFin transistors have a new thin barrier that reduces interconnect resistance by 30%, which improves interconnect performance - a ...
  36. [36]
    Tiger Lake chip launches SuperFin 10nm process ... - eeNews Europe
    Sep 7, 2020 · Intel has launched its 11th generation 'Core' processor – called Tiger Lake – made using a 10nm SuperFin FinFET manufacturing process.<|separator|>
  37. [37]
    [PDF] Accelerating Process Innovation | Intel
    Jul 26, 2021 · Delivering an approximately 10% to 15% performance-per-watt1 increase over Intel 10nm SuperFin through FinFET transistor optimizations, ...
  38. [38]
    Intels' 10nm density is 2.7 times better - Fudzilla.com
    Jun 29, 2018 · Intel's 10nm density is 2.7X improved over the 14nm node. This allows Intel to shove more than 100 million transistors in one square millimetre.Missing: per | Show results with:per
  39. [39]
    Is Intel 10nm really denser than TSMC 7nm? - SemiWiki
    Jun 17, 2019 · In a recent article on Wikichip they claim that Intel's 10nm process is denser than TSMC's 7nm.Missing: drive | Show results with:drive
  40. [40]
    10nm Versus 7nm - Semiconductor Engineering
    Apr 25, 2016 · TSMC sees 10nm as a shorter node and is emphasizing 7nm. Meanwhile, Intel will move into 10nm production by mid-2017, with 7nm slated for 2018 ...
  41. [41]
    10nm Technology - Taiwan Semiconductor Manufacturing
    TSMC's 10nm Fin Field-Effect Transistor (FinFET) process provides the most competitive combination of performance, power, area, and delivery parameters.
  42. [42]
    TSMC Tech Tour De Force - Semiconductor Engineering
    Apr 13, 2015 · TSMC's 10nm has demonstrated 256Mb SRAM yields with 2.1x the density of 16nm and 10nm will enter risk production in Q4 of 2015.
  43. [43]
    Samsung Starts Mass Production of its 2nd Generation 10nm ...
    Nov 29, 2017 · 10LPP process technology allows up to 10-percent higher performance or 15-percent lower power consumption compared to its first generation 10nm ...Missing: density 60-70 MTr/ mm² contacts
  44. [44]
    A11 Bionic - Apple - WikiChip
    Introduced during a keynote event on September 12 2017, the A11 Bionic features six 64-bit CPU cores. Fabricated on TSMC's 10 nm process, the A11 consists ...
  45. [45]
    Qualcomm and Samsung Collaborate on 10nm Process Technology ...
    Nov 17, 2016 · Using 10nm FinFET, the Snapdragon 835 processor will offer a smaller chip footprint, giving OEMs more usable space inside upcoming products to ...
  46. [46]
    [PDF] GLOBALFOUNDRIES to Acquire IBM's Microelectronics Business
    Oct 20, 2014 · GLOBALFOUNDRIES will become IBM's exclusive server processor semiconductor technology provider for 22nm, 14nm and 10nm semiconductors for the ...
  47. [47]
    AMD Likely Skipping 10nm For 7nm, Restructures GlobalFoundries ...
    Sep 1, 2016 · GlobalFoundries recently announced that it is not investing in the 10nm node, which it claims is a "half-node" and does not provide enough ...Missing: 2018 | Show results with:2018
  48. [48]
    GlobalFoundries Halts 7nm Work - EE Times
    Aug 27, 2018 · Globalfoundries suspended work on a 7nm node. It will lay off less than 5% of its workforce and make its ASIC group a wholly-owned subsidiary.Missing: 2016 | Show results with:2016
  49. [49]
    Globalfoundries Gives Up on Advanced Chip Production Technology
    Aug 27, 2018 · Globalfoundries Inc., one of the world's largest semiconductor makers, has dropped out of the race to develop the most-advanced production technology.
  50. [50]
    UMC joins IBM chip alliance for 10nm process development
    Jun 13, 2013 · UMC will join the IBM Technology Development Alliances as a participant in the group's development of 10nm CMOS process technology.
  51. [51]
    In the Global AI Chips Race, China Is Playing Catch-Up
    Sep 18, 2024 · In the crucial area of chip manufacturing, SMIC only began large-scale production of 14 nm chips in 2022, and its mature production remains with ...Missing: timeline | Show results with:timeline
  52. [52]
    Samsung Mass Producing High-Performance 128-gigabit 3-bit Multi ...
    Apr 11, 2013 · Samsung started production of 10nm-class 64Gb MLC NAND flash memory in November last year, and in less than five months, has added the new 128 ...
  53. [53]
    TSMC Technology Symposium: Process Status - Cadence Blogs
    Mar 21, 2016 · TSMC expects 100 tapeouts from 40 customers during 2016. N10. Risk production early ... Third-generation 0.18um BCD, risk production 2H 2016 ...
  54. [54]
    Intel makes its first 10nm Cannon Lake chips official - Ars Technica
    May 16, 2018 · The Ark listing confirms that it is indeed a 15W Cannon Lake chip built on a 10nm process. It has two cores, four threads, a base clock speed of ...
  55. [55]
    Intel 10nm Ice Lake to Quantitatively Debut Within 2019
    Apr 26, 2019 · And over the past four months, the organization drove a nearly 2X improvement in the rate at which 10nm products move through our factories." ...Missing: percentage | Show results with:percentage
  56. [56]
    [PDF] IMPACT OF MASK COSTS ON PATTERNING STRATEGY
    • Innovate equipment and processes to allow for use with 193nm masks. • ... • Cost model validates cost parity between one EUV mask and three high-end ArFi masks.
  57. [57]
    Navigating the Costly Economics of Chip Making | BCG
    Sep 28, 2023 · This article aims to provide a framework of the considerations that should be accounted for to understand and quantify the economics of these mega projects.
  58. [58]
    Intel's Fall From Grace - by Richard Rumelt - The Strategeion
    Apr 18, 2025 · Revenue decreased by 30% compared to 2021. More crucially, Intel's yield on new chips continued at less than 10%, while competitor TSMC's yield ...
  59. [59]
  60. [60]
    DRAM Scaling Challenges Grow - Semiconductor Engineering
    Nov 21, 2019 · In DRAM, the nodes are designated by the half-pitch of the active or body of the memory cell. ... Instead, they may be able to use it for 1a or 1b ...
  61. [61]
    A technology platform for thermally stable DRAM peripheral transistors
    May 20, 2025 · Current DRAM chips belong to the '10nm class' (denoted as D1x, D1y, D1z, D1α...), where the half pitches of the active area in the memory cell ...Missing: nomenclature | Show results with:nomenclature
  62. [62]
    1xnm DRAM Challenges - Semiconductor Engineering
    Feb 18, 2016 · “Patterning 1xnm half-pitches and contacts without EUV will be surely painful. It requires long and tedious work to hold CD uniformity and ...
  63. [63]
    The three major memory powerhouses are investing in 1c DRAM ...
    Sep 29, 2025 · According to industry sources on the 21st, major memory companies are focusing on new and conversion investments for mass production of 1c DRAM.
  64. [64]
    One-Team Spirit: DRAM Leadership Through Miniaturization
    Jun 27, 2025 · 1c: The sixth generation of the 10 nm DRAM process technology, which was developed in the order of 1x-1y-1z-1a-1b-1c. ... nm-class 16 Gb DDR5 DRAM
  65. [65]
    SK hynix Develops 1Znm 16Gb DDR4 DRAM
    Oct 21, 2019 · The new 1Znm DRAM also supports a data transfer rate of up to 3,200Mbps, which is the fastest data processing speed in DDR4 interface. The ...Missing: 18 mm²
  66. [66]
    Why DRAM is stuck in a 10nm trap - Blocks and Files
    Apr 13, 2020 · Why is DRAM confined in a 10nm semiconductor process prison when microprocessors and the like are being built using 7nm processes, with 5nm on the horizon?
  67. [67]
    Samsung Develops Industry's First 3rd-generation 10nm-Class ...
    New 8Gb DDR4 based on most advanced 1z-nm process enables DRAM solutions with ultra-high performance and power efficiency.
  68. [68]
    [News] Samsung's 1c DRAM Yields Reportedly Reach up to 70 ...
    Jun 20, 2025 · According to Sedaily, the company recently achieved yields of 50–70% in tests for its sixth-generation 10nm-class DRAM (1c DRAM) wafers—a jump ...Missing: pitch | Show results with:pitch
  69. [69]
    SK hynix develops 6th-gen 10nm-class DDR5 with the world's first ...
    Aug 29, 2024 · 1c is SK Hynix's first 16Gb DRAM module, reportedly 11% faster than its previous-generation counterpart and 9% more energy efficient. Thanks to ...
  70. [70]
    Micron to skip 10nm DRAM, go straight to 9nm - LinkedIn
    Oct 21, 2025 · Micron skips a node? Weighing big bet on 9nm DRAM and US$100B fab future Micron Technology is accelerating its sub-10nm #DRAM roadmap as ...
  71. [71]
    HBM roadmaps for Micron, Samsung, and SK hynix - Tom's Hardware
    Aug 6, 2025 · We've compiled a full roadmap of HBM memory for SK hynix, Micron, and Samsung, including HBM3, HBM3E, HBM4, and HBM4E.
  72. [72]
    Capacity Constraints Hit Intel as Demand Outstrips Intel 10/7 Node Supply
    Article from October 24, 2025, reporting on Intel's capacity constraints on Intel 10 and Intel 7 nodes, limiting the ability to meet Q3 2025 demand for data center and client products amid ongoing production challenges.