Fact-checked by Grok 2 weeks ago
References
-
[1]
Intel Now Packs 100 Million Transistors in Each Square Millimeter... 10 nanometers. For those uninitiated in semiconductor lingo, the 10 nm designation is a reference to the “node” or manufacturing technology used to make ...
-
[2]
Intel's 10nm Node: Past, Present, and Future - EE TimesJun 15, 2020 · Intel's 10nm node will have been in HVM for about a couple of years in the second half of 2021, when Intel's 7nm production starts to ramp.
-
[3]
Samsung Starts Industry's First Mass Production of System-on-Chip ...Oct 17, 2016 · SoCs with 10nm process technology will be used in digital devices launching early next year and are expected to become more widely available ...
-
[4]
10nm FinFET Market Heats Up - Semiconductor EngineeringOct 16, 2016 · Then, TSMC recently introduced its 10nm process, with plans to move into production by the fourth quarter of 2016. Now, Samsung Electronics said ...
-
[5]
GF Puts 7nm On Hold - Semiconductor EngineeringAug 27, 2018 · “Due to slower-than-expected progress on yields, Intel stated that volume production of 10nm processors has been pushed out to 2H19 from prior ...
-
[6]
Intel Finds Moore's Law's Next Step at 10 NanometersAt 10 nm, the company aims to introduce two of these deminodes (10 nm+ and 10 nm++) before it introduces its next manufacturing generation at 7 nm.
-
[7]
[PDF] 2013 EDITION - Semiconductor Industry AssociationPage 1. THE INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS: 2013. LINK TO ITRS 2013 FULL EDITION DETAILS. INTERNATIONAL. TECHNOLOGY ROADMAP. FOR.
-
[8]
No More Nanometers - EEJournalJul 23, 2020 · Intel held the line from “10 micron” in 1972 through “0.35 micron” in 1995, an impressive 23-year run where the node name matched gate length.
-
[9]
[PDF] Semiconductors and the Semiconductor Industry - Congress.govApr 19, 2023 · Semiconductors (also known as integrated circuits, microelectronic chips, or computer chips) are tiny electronic devices (based primarily on ...Missing: nomenclature | Show results with:nomenclature
-
[10]
A Better Way to Measure Progress in Semiconductors - IEEE SpectrumJul 21, 2020 · For example, transistors made using the so-called 130-nm node actually had 70-nm gates. The result was the continuation of the Moore's Law ...<|control11|><|separator|>
-
[11]
Intel 10 nm Process Increases Transistor Density by 2.7x Over 14 nmJun 29, 2018 · The achievement of a 2.7-times increase in transistor density over the current 14 nm node, enabling Intel to cram up to 100.8 million transistors per square ...
-
[12]
Intel's New 10 nm Process: The Wind in our Sails | FPGA CPU NewsMar 29, 2017 · Intel's new 10 nm process almost triples the capacity of new integrated circuits, so that the performance and capabilities of our systems can again “leap ahead”
-
[13]
14nm 16nm 10nm and 7nm - What we know now - SemiWikiApr 7, 2017 · The initial 10nm process has 25% better performance or 0.56x better active power than the initial 14nm process. Interestingly both the 10 and 10 ...
-
[14]
imec magazine January 2017- Semiconductor scalingJan 1, 2017 · FinFET technology has been the killer device for the 14 and 10nm technology nodes. But for the 7-5nm, An Steegen foresees challenges. “At these ...
-
[15]
A 10nm high performance and low-power CMOS technology ...The transistors feature rectangular fins with 7nm fin width and 46nm fin height, 5th generation high-k metal gate, and 7th-generation strained silicon. Four or ...
-
[16]
[PDF] A Quick Look at 14-nm and 10-nm Devices - NCCAVS Usergroups▫ Minimum gate length 18 nm, gate width ~97 nm with 46 nm fin height, cf ... ▫ SAQP minimum fin pitch ~33 nm, fin width ~6 nm, functional gate height ...Missing: architecture | Show results with:architecture
-
[17]
Strain engineering in functional materials | AIP AdvancesMar 21, 2019 · Strain engineering is one of the key aspects to improve transistor performance. In this review, we describe strain engineering in silicon based advanced CMOS ...
-
[18]
IEDM 2017 + ISSCC 2018: Intel's 10nm, switching to cobalt ...Feb 17, 2018 · Air gaps were used in order to improve the capacitance at the two performance-critical local interconnect layers. The air gaps provided 17% ...
-
[19]
FinFET scaling to 10 nm gate length - IEEE XploreIn this paper we report the design, fabrication, performance, and integration issues of double-gate FinFETs with the physical gate length being aggressively ...Missing: process | Show results with:process
-
[20]
Logic, Transistor Structure, page 2-Research-Taiwan ...FinFETs also enabled a partial decoupling of the transistor density scaling from device effective width scaling, which is an important feature for attaining ...Missing: ∝ L_g * W_eff)
-
[21]
Pushing multiple patterning in sub-10nm: are we ready?Due to elongated delay of extreme ultraviolet lithography (EUVL), the semiconductor industry has been pushing the 193nm immersion lithopgrahy using multiple ...
-
[22]
[PDF] Pushing Multiple Patterning in Sub-10nm: Are We Ready? - Yibo LinJun 7, 2015 · Due to the fundamental optical resolution limit, the 193nm immersion lithography can only achieve the minimum pitch. (i.e., mininum width + ...
-
[23]
Directed self-assembly of block copolymers for sub-10 nm fabricationAug 12, 2020 · Directed self-assembly (DSA) emerges as one of the most promising new patterning techniques for single digit miniaturization and next generation lithography.
-
[24]
Directed Self Assembly of Block Copolymers for Nanopatterning | NISTSep 7, 2018 · Directed Self Assembly (DSA) combines top-down and bottom-up patterning for sub-10 nm nanostructures, using templates to guide block copolymer ...
-
[25]
Single Vs. Multi-Patterning EUV - Semiconductor EngineeringMar 25, 2019 · EUV promises to solve the problem. For example, 193nm/multi-patterning requires three exposures to process the critical metal layers at 7nm.
-
[26]
Atomic layer deposition of sub-10 nm high-K gate dielectrics on top ...In this study, sub-10 nm, uniform and pinhole-free Al 2 O 3 high-K gate dielectrics on MoS 2 were achieved by atomic layer deposition without surface ...
-
[27]
Formation of sub-10 nm width InGaAs finFETs of 200 nm height by ...Fin width is controlled by atomic layer epitaxial (ALE) growth and by semiconductor selective crystallographic wet etching. We further demonstrate self-aligned ...
-
[28]
CMP - Semiconductor Manufacturing Process - HORIBACMP uses chemical oxidation and mechanical abrasion to remove material, achieving high planarity. It uses slurries with nano-sized abrasives in acidic or basic ...
-
[29]
Making lithography work for the 7-nm node and beyond in overlay ...Aug 1, 2015 · Overlay accuracy, resolution, defect, and cost are identified as the major challenges to extend lithography to the 7-nm node and beyond.
-
[30]
Patterning challenges in the sub-10 nm era - SPIE Digital LibraryMar 28, 2016 · This paper will describe some of these challenges in more detail, and suggest directions for future research to keep optical lithography relevant even below ...Missing: pre- | Show results with:pre-
-
[31]
[PDF] Intel's 10 nm Technology: Delivering the Highest Logic Transistor ...Cost Per Transistor These smaller dimensions enable a logic transistor density of 100.8 mega transistors per mm2, which is 2.7x higher than Intel's previous 14 ...
-
[32]
IEDM 2017 + ISSCC 2018: Intel's 10nm, switching to cobalt ...Feb 17, 2018 · At IEDM Intel made a surprise announcement with 10nm using cobalt interconnect for the first time in high-volume manufacturing.
-
[33]
Intel's 10nm Node: Past, Present, and Future - Part 2 - EE TimesJun 17, 2020 · Keeping in mind that premium Tiger Lake CPUs are larger than premium Ice Lake CPUs, it looks like Intel's 10nm yields are gradually increasing.
-
[34]
Ice Lake (microprocessor) - WikipediaProduced on the second generation of Intel's 10 nm process, 10 nm+, Ice Lake is Intel's second microarchitecture to be manufactured on the 10 nm process, ...
-
[35]
10nm SuperFin Technology, Advanced Packaging RoadmapAug 13, 2020 · The 10nm SuperFin transistors have a new thin barrier that reduces interconnect resistance by 30%, which improves interconnect performance - a ...
-
[36]
Tiger Lake chip launches SuperFin 10nm process ... - eeNews EuropeSep 7, 2020 · Intel has launched its 11th generation 'Core' processor – called Tiger Lake – made using a 10nm SuperFin FinFET manufacturing process.<|separator|>
-
[37]
[PDF] Accelerating Process Innovation | IntelJul 26, 2021 · Delivering an approximately 10% to 15% performance-per-watt1 increase over Intel 10nm SuperFin through FinFET transistor optimizations, ...
-
[38]
Intels' 10nm density is 2.7 times better - Fudzilla.comJun 29, 2018 · Intel's 10nm density is 2.7X improved over the 14nm node. This allows Intel to shove more than 100 million transistors in one square millimetre.Missing: per | Show results with:per
-
[39]
Is Intel 10nm really denser than TSMC 7nm? - SemiWikiJun 17, 2019 · In a recent article on Wikichip they claim that Intel's 10nm process is denser than TSMC's 7nm.Missing: drive | Show results with:drive
-
[40]
10nm Versus 7nm - Semiconductor EngineeringApr 25, 2016 · TSMC sees 10nm as a shorter node and is emphasizing 7nm. Meanwhile, Intel will move into 10nm production by mid-2017, with 7nm slated for 2018 ...
-
[41]
10nm Technology - Taiwan Semiconductor ManufacturingTSMC's 10nm Fin Field-Effect Transistor (FinFET) process provides the most competitive combination of performance, power, area, and delivery parameters.
-
[42]
TSMC Tech Tour De Force - Semiconductor EngineeringApr 13, 2015 · TSMC's 10nm has demonstrated 256Mb SRAM yields with 2.1x the density of 16nm and 10nm will enter risk production in Q4 of 2015.
-
[43]
Samsung Starts Mass Production of its 2nd Generation 10nm ...Nov 29, 2017 · 10LPP process technology allows up to 10-percent higher performance or 15-percent lower power consumption compared to its first generation 10nm ...Missing: density 60-70 MTr/ mm² contacts
-
[44]
A11 Bionic - Apple - WikiChipIntroduced during a keynote event on September 12 2017, the A11 Bionic features six 64-bit CPU cores. Fabricated on TSMC's 10 nm process, the A11 consists ...
-
[45]
Qualcomm and Samsung Collaborate on 10nm Process Technology ...Nov 17, 2016 · Using 10nm FinFET, the Snapdragon 835 processor will offer a smaller chip footprint, giving OEMs more usable space inside upcoming products to ...
-
[46]
[PDF] GLOBALFOUNDRIES to Acquire IBM's Microelectronics BusinessOct 20, 2014 · GLOBALFOUNDRIES will become IBM's exclusive server processor semiconductor technology provider for 22nm, 14nm and 10nm semiconductors for the ...
-
[47]
AMD Likely Skipping 10nm For 7nm, Restructures GlobalFoundries ...Sep 1, 2016 · GlobalFoundries recently announced that it is not investing in the 10nm node, which it claims is a "half-node" and does not provide enough ...Missing: 2018 | Show results with:2018
-
[48]
GlobalFoundries Halts 7nm Work - EE TimesAug 27, 2018 · Globalfoundries suspended work on a 7nm node. It will lay off less than 5% of its workforce and make its ASIC group a wholly-owned subsidiary.Missing: 2016 | Show results with:2016
-
[49]
Globalfoundries Gives Up on Advanced Chip Production TechnologyAug 27, 2018 · Globalfoundries Inc., one of the world's largest semiconductor makers, has dropped out of the race to develop the most-advanced production technology.
-
[50]
UMC joins IBM chip alliance for 10nm process developmentJun 13, 2013 · UMC will join the IBM Technology Development Alliances as a participant in the group's development of 10nm CMOS process technology.
-
[51]
In the Global AI Chips Race, China Is Playing Catch-UpSep 18, 2024 · In the crucial area of chip manufacturing, SMIC only began large-scale production of 14 nm chips in 2022, and its mature production remains with ...Missing: timeline | Show results with:timeline
-
[52]
Samsung Mass Producing High-Performance 128-gigabit 3-bit Multi ...Apr 11, 2013 · Samsung started production of 10nm-class 64Gb MLC NAND flash memory in November last year, and in less than five months, has added the new 128 ...
-
[53]
TSMC Technology Symposium: Process Status - Cadence BlogsMar 21, 2016 · TSMC expects 100 tapeouts from 40 customers during 2016. N10. Risk production early ... Third-generation 0.18um BCD, risk production 2H 2016 ...
-
[54]
Intel makes its first 10nm Cannon Lake chips official - Ars TechnicaMay 16, 2018 · The Ark listing confirms that it is indeed a 15W Cannon Lake chip built on a 10nm process. It has two cores, four threads, a base clock speed of ...
-
[55]
Intel 10nm Ice Lake to Quantitatively Debut Within 2019Apr 26, 2019 · And over the past four months, the organization drove a nearly 2X improvement in the rate at which 10nm products move through our factories." ...Missing: percentage | Show results with:percentage
-
[56]
[PDF] IMPACT OF MASK COSTS ON PATTERNING STRATEGY• Innovate equipment and processes to allow for use with 193nm masks. • ... • Cost model validates cost parity between one EUV mask and three high-end ArFi masks.
-
[57]
Navigating the Costly Economics of Chip Making | BCGSep 28, 2023 · This article aims to provide a framework of the considerations that should be accounted for to understand and quantify the economics of these mega projects.
-
[58]
Intel's Fall From Grace - by Richard Rumelt - The StrategeionApr 18, 2025 · Revenue decreased by 30% compared to 2021. More crucially, Intel's yield on new chips continued at less than 10%, while competitor TSMC's yield ...
- [59]
-
[60]
DRAM Scaling Challenges Grow - Semiconductor EngineeringNov 21, 2019 · In DRAM, the nodes are designated by the half-pitch of the active or body of the memory cell. ... Instead, they may be able to use it for 1a or 1b ...
-
[61]
A technology platform for thermally stable DRAM peripheral transistorsMay 20, 2025 · Current DRAM chips belong to the '10nm class' (denoted as D1x, D1y, D1z, D1α...), where the half pitches of the active area in the memory cell ...Missing: nomenclature | Show results with:nomenclature
-
[62]
1xnm DRAM Challenges - Semiconductor EngineeringFeb 18, 2016 · “Patterning 1xnm half-pitches and contacts without EUV will be surely painful. It requires long and tedious work to hold CD uniformity and ...
-
[63]
The three major memory powerhouses are investing in 1c DRAM ...Sep 29, 2025 · According to industry sources on the 21st, major memory companies are focusing on new and conversion investments for mass production of 1c DRAM.
-
[64]
One-Team Spirit: DRAM Leadership Through MiniaturizationJun 27, 2025 · 1c: The sixth generation of the 10 nm DRAM process technology, which was developed in the order of 1x-1y-1z-1a-1b-1c. ... nm-class 16 Gb DDR5 DRAM
-
[65]
SK hynix Develops 1Znm 16Gb DDR4 DRAMOct 21, 2019 · The new 1Znm DRAM also supports a data transfer rate of up to 3,200Mbps, which is the fastest data processing speed in DDR4 interface. The ...Missing: 18 mm²
-
[66]
Why DRAM is stuck in a 10nm trap - Blocks and FilesApr 13, 2020 · Why is DRAM confined in a 10nm semiconductor process prison when microprocessors and the like are being built using 7nm processes, with 5nm on the horizon?
-
[67]
Samsung Develops Industry's First 3rd-generation 10nm-Class ...New 8Gb DDR4 based on most advanced 1z-nm process enables DRAM solutions with ultra-high performance and power efficiency.
-
[68]
[News] Samsung's 1c DRAM Yields Reportedly Reach up to 70 ...Jun 20, 2025 · According to Sedaily, the company recently achieved yields of 50–70% in tests for its sixth-generation 10nm-class DRAM (1c DRAM) wafers—a jump ...Missing: pitch | Show results with:pitch
-
[69]
SK hynix develops 6th-gen 10nm-class DDR5 with the world's first ...Aug 29, 2024 · 1c is SK Hynix's first 16Gb DRAM module, reportedly 11% faster than its previous-generation counterpart and 9% more energy efficient. Thanks to ...
-
[70]
Micron to skip 10nm DRAM, go straight to 9nm - LinkedInOct 21, 2025 · Micron skips a node? Weighing big bet on 9nm DRAM and US$100B fab future Micron Technology is accelerating its sub-10nm #DRAM roadmap as ...
-
[71]
HBM roadmaps for Micron, Samsung, and SK hynix - Tom's HardwareAug 6, 2025 · We've compiled a full roadmap of HBM memory for SK hynix, Micron, and Samsung, including HBM3, HBM3E, HBM4, and HBM4E.
-
[72]
Capacity Constraints Hit Intel as Demand Outstrips Intel 10/7 Node SupplyArticle from October 24, 2025, reporting on Intel's capacity constraints on Intel 10 and Intel 7 nodes, limiting the ability to meet Q3 2025 demand for data center and client products amid ongoing production challenges.