Fact-checked by Grok 2 weeks ago

ARM Cortex-A73

The ARM Cortex-A73 is a high-performance, power-efficient CPU core developed by , implementing the Armv8-A 64-bit architecture and designed primarily for premium mobile and embedded applications such as smartphones and automotive systems. Announced in May 2016 as part of Arm's premium mobile processor suite, it supports configurations of 1 to 4 symmetrical () cores per cluster, with multiple coherent clusters enabled via AMBA 4 ACE interconnect technology, enabling scalable big.LITTLE heterogeneous processing when paired with efficiency cores like the Cortex-A53 or Cortex-A35. Key architectural features include per-core L1 instruction and data caches, a shared unified cache per cluster, and support for advanced instruction sets such as (64-bit), AArch32 for with Armv7, TrustZone security, advanced SIMD and DSP extensions, VFPv4 floating-point unit, and . The core achieves clock speeds up to 2.8 GHz in mobile process nodes, delivering the highest peak and sustained performance in its low-power class while offering up to 30% improved power efficiency over predecessors like the Cortex-A72, making it suitable for battery-constrained devices with demanding workloads in , , and computing. In terms of and , the Cortex-A73 features a compact footprint as the smallest Armv8-A premium at the time of release, with low-power wait-for-interrupt (WFI) states, dynamic retention modes for RAMs, and compatibility with Arm's GPUs, TrustZone security IP, and CoreSight SoC-400 debug/trace components via standard AMBA interfaces. Targeted at system-on-chip () designs in slim form factors, it has been widely adopted in flagship mobile SoCs for enhanced user experiences in immersive applications, though later Cortex-A series cores have since succeeded it in Arm's portfolio for even greater efficiency and capabilities.

Overview and Specifications

Introduction

The ARM Cortex-A73 is a high-performance (CPU) core implementing the ARMv8-A 64-bit . Announced by on May 30, , at in , it was positioned as a key component of the company's premium mobile processor portfolio for 2017 devices. Designed from scratch by ARM's team at the Sophia Antipolis design center in , the core emphasized mobile-focused optimizations for efficiency and sustained operation. Serving as the successor to the Cortex-A72 in ARM's high-performance mobile lineup, the A73 was later followed by the Cortex-A75 as its direct replacement. This progression marked an evolution toward more capable processors for power-constrained environments, building on the big.LITTLE approach by pairing with efficiency cores like the Cortex-A53 or A35. Targeted primarily at premium smartphones and tablets, the Cortex-A73 also supported emerging applications such as (AR), (VR), and advanced . Its design prioritized sustained peak performance without thermal throttling, enabling operation at frequencies up to 2.8 GHz on 10 nm processes while delivering 30% better efficiency than its predecessor.

Technical Specifications

The ARM Cortex-A73 implements the ARMv8-A , supporting both 64-bit execution and 32-bit AArch32 legacy mode for with ARMv7 software. Its microarchitecture features an out-of-order, superscalar design with a 2-wide decode stage, enabling a sustained throughput of up to two instructions per cycle. The core supports configurations of 1 to 4 cores per , with shared L2 cache and compatibility for multiple clusters in heterogeneous systems, often paired with efficiency cores like the Cortex-A53. It is single-threaded per core, lacking hardware multithreading capabilities. Maximum clock frequencies reach up to 2.8 GHz when implemented on advanced process nodes. Optimized for 16 nm FinFET processes and scalable to smaller nodes such as 10 nm, the Cortex-A73 delivers efficient performance in and applications. It includes support for key extensions, such as from the ARMv8-A base, along with optional cryptography accelerations for and algorithms, and CRC32 via ARMv8.1 compatibility in select implementations.

Design and Microarchitecture

Core Design

The ARM Cortex-A73 core was engineered with a primary focus on achieving a balance between high sustained performance and low consumption, particularly for applications, while delivering up to a 25% reduction in area compared to the Cortex-A72 on the same process node. This design philosophy prioritized efficiency in premium smartphones and other battery-constrained devices, enabling higher clock speeds up to 2.8 GHz without excessive thermal throttling. The core implements the ARMv8-A architecture, emphasizing sustained workloads over peak bursts to support immersive experiences like and . The Cortex-A73 employs a -based configuration supporting up to four cores per cluster, initially designed for big.LITTLE heterogeneous systems. This allows for scalable multi-core setups, such as pairing A73 cores with efficiency cores like the Cortex-A53, using a shared cache and AMBA 4 interconnect for coherent memory access. The core's framework underpins its ability to handle complex instruction streams efficiently. Branch prediction in the Cortex-A73 features advanced algorithms with a two-level global history , contributing to up to 10% better at iso-frequency compared to the Cortex-A72. The load/store unit supports up to three loads and two stores per cycle, enhanced by store forwarding optimizations to reduce latency in data-dependent operations. For operations, the core features dual pipelines equipped with arithmetic units (ALUs), barrel shifters, and multipliers, enabling parallel execution of scalar instructions to maintain high throughput. The floating-point and SIMD capabilities are handled by a unit providing 128-bit vector processing, though it exhibits lower () compared to integer units due to simplified scheduling mechanisms. This design choice trades some vector performance for overall power savings, aligning with the core's optimization goals while still supporting advanced and workloads.

Pipeline and Execution Units

The ARM Cortex-A73 implements a superscalar, out-of-order optimized for in , featuring an 11-stage integer that supports clock speeds up to 2.8 GHz. The front end includes a four-stage fetch unit delivering instructions to a 2-wide decode stage, which processes variable-length ARM instructions by splitting them into two parallel streams. Subsequent rename and dispatch stages follow, enabling up to two instructions to be dispatched per cycle to the execution units, with the overall design sustaining a maximum throughput of two . The execution units consist of two integer arithmetic logic units (ALUs) for basic operations, one complex integer unit dedicated to multiply and divide operations, a dedicated branch unit, and shared pipelines for floating-point and SIMD processing, with the latter featuring dual pipes for improved throughput. The branch unit incorporates an predictor that tracks up to 256 targets overall, supporting up to 16 possible targets per to enhance accuracy in . Reordering is managed through a slot-based execution model with theoretically unlimited , eschewing a traditional fixed-size reorder to facilitate deep without hard limits on instruction window size. In practice, this enables extensive out-of-order execution, though constrained by downstream resources such as scheduler queues. The pipeline supports resource limits including 11 in-flight stores after an unresolved branch—a reduction from the prior Cortex-A72's 15—and up to 4 outstanding L1 misses.

Memory Hierarchy

The ARM Cortex-A73 implements a hierarchical consisting of private L1 caches per core and a shared L2 cache within the , optimized for low-latency access in power-constrained environments. This prioritizes hit rates and for typical workloads while maintaining compatibility with the ARMv8-A architecture's model. The caches use 64-byte line sizes throughout, enabling efficient burst transfers from lower levels. The Level 1 (L1) instruction is fixed at 64 KiB and organized as 4-way set-associative with Virtually Indexed Physically Tagged (VIPT) indexing. It incorporates bits for single-error detection, allowing the to invalidate corrupted lines and refetch from lower levels without halting execution. The L1 data is configurable to either 32 KiB (8-way set-associative) or 64 KiB (16-way set-associative), also VIPT, and operates as write-back to minimize bus traffic. It supports non-temporal stores through a dedicated store buffer that bypasses the for full-line writes not present in L1, directing them straight to the L2 to avoid pollution in streaming scenarios. The Level 2 (L2) cache is unified, serving both instruction and data requests, and is configurable from 256 KiB to 8 MiB per cluster in powers-of-two increments. It employs 16-way set-associativity and maintains inclusivity with respect to the L1 caches, ensuring that all L1 content is also present in L2 for simplified coherency management across cores. Optional (ECC) protection is available for both tags and data arrays. The Cortex-A73 does not feature an on-core L3 cache; higher-level caching is handled by external system caches in the , such as those integrated in multi-cluster configurations. Memory management is facilitated by an integrated (MMU) compliant with the ARMv8 architecture, supporting hierarchical page tables with a base granule size of 4 . It includes Large (LPAE) for up to 40-bit physical addressing, enabling efficient virtual-to-physical translation in systems with large memory footprints. The L1 data cache delivers up to 32 bytes per cycle in load bandwidth, complemented by a hardware that identifies and prefetches streams—up to eight concurrent streams—to reduce for linear data patterns common in multimedia and graphics workloads.

Performance and Efficiency

Integer and Floating-Point Performance

The ARM Cortex-A73 features a superscalar out-of-order capable of sustaining approximately 2.0 () for operations, with potential uplifts to 2.5 in optimized workloads. This represents a 30% over the Cortex-A72 in integer-intensive tasks akin to SPECint benchmarks, driven by enhancements in branch prediction and execution . Floating-point performance is comparatively lower, achieving 1.5-2.0 due to narrower pipelines in the (FPU), which prioritize efficiency for over raw throughput. The core's dual-pipe FPU supports fused multiply-add operations with a of 7 s, balancing -sensitive tasks in graphics and . Integer throughput reaches up to 2 operations per for adds and multiplies across two ALU ports, while divides incur approximately 12 s of , reflecting a for power-sensitive environments. The SIMD extension delivers 4 single-precision (32-bit) floating-point operations per cycle via its 128-bit pathways, enabling effective acceleration for and video workloads but limiting scalability for applications. Under constraints, the Cortex-A73 sustains over 90% of , minimizing frequency throttling observed in prior cores through optimized and microarchitectural efficiencies. Its reordering capacity further enables high by tolerating dependencies in integer streams.

Power Consumption and Efficiency

The ARM Cortex-A73 core is engineered for high efficiency within the constrained envelope, delivering the highest while maintaining low usage suitable for battery-powered devices. Compared to its predecessor, the Cortex-A72, it achieves up to 30% better efficiency, enabling either 30% higher at the same level or 30% reduced consumption at equivalent levels. This efficiency stems from architectural optimizations such as aggressive and -optimized designs, which minimize dynamic dissipation during operation. In terms of power envelope, the Cortex-A73 operates effectively at around 0.5-1.0 W per when clocked at 2.5 GHz on a , representing over 20% lower power draw than the A72 for integer workloads and even greater savings for floating-point and memory-intensive tasks at iso-frequency. Its thermal design supports sustained high-performance operation without frequent throttling, facilitated by dynamic voltage and (DVFS) that adjusts supply voltage and clock speed in to balance performance and heat generation. Leakage power is controlled through advanced techniques, allowing inactive sections to enter low-power retention states, which further enhances during idle or lightly loaded scenarios. The core's area efficiency contributes significantly to overall SoC power optimization, occupying up to 46% less die area than the A72 when implemented on the same process node, with a footprint of about 0.65 mm² on 10 nm technology—making it the smallest Armv8-A core at the time. This compact design enables denser integration of multiple cores in big.LITTLE configurations, promoting better power balancing across performance and efficiency clusters. Process scaling is optimized for advanced nodes like 7-10 nm, where it achieves peak efficiency through reduced leakage and improved , though it scales reliably to 16 nm and even 28 nm for cost-sensitive applications without substantial efficiency loss. The ARM Cortex-A73 provides approximately 30% higher sustained performance than its predecessor, the Cortex-A72, primarily through improvements in integer instruction throughput while maintaining similar floating-point capabilities. This uplift stems from enhanced branch prediction and reordering mechanisms that allow better handling of sustained workloads, though the A72 may achieve a slightly higher peak in short bursts due to its design focus on bursty performance. In real-world benchmarks, devices with the A73, such as those using the 835, demonstrate 10-20% gains over A72-based systems in web loading and multi-threaded tasks, reflecting the A73's emphasis on thermal stability over raw peak speed. Compared to its successor, the Cortex-A75, the A73 delivers 20-25% lower overall performance at equivalent frequencies and power envelopes, as the A75 introduces a wider 3-wide pipeline for better parallelism. The A75 achieves this with roughly the same , offering up to 25% higher SPECint scores at 1W per core and 30% at 2W, making it more suitable for demanding mobile applications. However, the A73's narrower 2-wide and large reordering capacity provide advantages in power-constrained scenarios where the A75's added complexity can lead to higher leakage. In the context of 2025 contemporaries like the Cortex-A78, the A73 lags significantly, scoring around 415 in 5 single-core tests compared to the A78's 934, underscoring its legacy status in modern . This gap highlights generational advances in the A78, including improved vector processing and larger caches, which double the effective throughput in integer-heavy workloads. For multi-core scaling, a 4-core A73 at 1.844 GHz achieves a PassMark CPU of about 1,368, positioning it as adequate for devices from 2017-2020 but insufficient for demands. This score reflects efficient scaling within big.LITTLE configurations, where the A73 pairs with efficiency cores to balance loads without excessive throttling. Recent 2024-2025 microbenchmark analyses reveal that the A73's reordering limits, despite being theoretically large, cap its in complex workloads due to small ALU schedulers (6 entries) and narrow fetch bandwidth, often resulting in sustained below 2.0 in SPECint-like tasks. These constraints, analyzed in implementations like the S922X, emphasize the core's efficiency focus over peak throughput, limiting its relevance in today's wider architectures.

Licensing and Implementations

Licensing Model

The ARM Cortex-A73 has been available as a synthesizable () core since its announcement in May 2016, licensed on a royalty-based model from . This traditional licensing approach involves upfront fees for access to the IP, followed by royalties calculated on the number of chips shipped by the licensee. Exact pricing details are protected under non-disclosure agreements, but estimates for initial mobile licensee fees range from $1 million to $2 million, with royalties typically at 1-2% of the chip's selling price. Arm provides multiple customization levels for the Cortex-A73: a core license for direct implementation of the reference design, semi-custom options through the "Built on " program that allow limited modifications such as tweaks while maintaining architectural compatibility, and full custom designs via architectural licenses for extensive alterations. The core integrates seamlessly with Arm's CoreLink interconnects, such as the CCI-550, to enable cache coherency in multi-cluster system-on-chip () configurations. The Cortex-A73 is backward-compatible with the broader Armv8 ecosystem, implementing the Armv8-A architecture and supporting TrustZone for secure execution environments.

Custom and Standard Implementations

The ARM Cortex-A73 core was employed in standard configurations by several licensees, enabling direct integration without significant architectural alterations. HiSilicon's Kirin 960, released in 2016, featured four Cortex-A73 performance cores clocked at 2.4 GHz alongside four Cortex-A53 efficiency cores in a big.LITTLE arrangement, fabricated on 's 16 nm process. Similarly, MediaTek's Helio X30, launched in early 2017, incorporated two Cortex-A73 cores at up to 2.6 GHz, paired with four Cortex-A53 cores at 2.2 GHz and four Cortex-A35 cores at 1.8 GHz, all on a to enhance power efficiency. Licensees also pursued semi-custom implementations under ARM's "Built on ARM Cortex Technology" model, which permits targeted modifications such as adjustments to dispatch widths, branch predictors, or cache configurations while retaining the core . A prominent example is Qualcomm's Kryo 280 in the Snapdragon 835 SoC, released in 2017, which utilized eight customized -A73 cores—all configured as performance cores without smaller variants—optimized for Samsung's 10 nm FinFET process to achieve higher sustained performance through tweaks like expanded execution resources. This approach leveraged the Cortex-A73's baseline design but allowed process-specific tuning, such as improved and , to balance efficiency and throughput on advanced nodes. These implementations commonly integrated the Cortex-A73 in heterogeneous big.LITTLE clusters with efficiency cores like the Cortex-A53 or A35, often paired with ARM's GPUs for graphics processing; for instance, the Kirin 960 used a -G71 MP8, while the Helio X30 opted for an PowerVR 7XT. Post-2017, evolutions included minor silicon optimizations for finer process nodes, as seen in HiSilicon's Kirin 970 of , which retained four Cortex-A73 cores at 2.4 GHz with four Cortex-A53 cores at 1.8 GHz on TSMC's 10 nm node, incorporating refinements like enhanced power management for better thermal stability. Such adaptations extended the core's viability in premium mobile SoCs through the late , aligning with the licensing model's flexibility for incremental enhancements.

Applications and Legacy

Usage in Mobile SoCs

The ARM Cortex-A73 core found widespread adoption in mobile system-on-chips (SoCs) from major vendors during the late , particularly in configurations pairing 2 to 4 high-performance A73 cores with efficiency-oriented cores to balance power and performance in smartphones. These setups typically operated the A73 cores at clock speeds between 2.0 and 2.5 GHz, enabling sustained operation in big.LITTLE architectures for demanding tasks like multitasking and while conserving battery life. HiSilicon integrated the Cortex-A73 into its flagship Kirin series, starting with the Kirin 960 in 2016, which featured four A73 cores at 2.36 GHz alongside four A53 cores at 1.84 GHz and powered devices such as the Huawei Mate 9. The follow-up Kirin 970, launched in 2017, retained a similar octa-core configuration with four A73 cores at 2.36 GHz and four A53 cores at 1.84 GHz, but added a dedicated neural processing unit (NPU) for AI acceleration, appearing in models like the Huawei Mate 10 series. Qualcomm employed A73-based Kryo 280 cores in the Snapdragon 835 of 2017, configuring four such cores at up to 2.45 GHz with four A53 cores at 1.9 GHz, which drove premium devices including the S8. In the mid-range segment, the Snapdragon 660 (2017) used four A73 cores at 2.2 GHz paired with four A53 cores at 1.84 GHz, while the Snapdragon 636 (2018) featured four A73 cores at 1.8 GHz paired with four A53 cores at 1.6 GHz, appearing in various budget handsets. MediaTek incorporated the Cortex-A73 into both premium and mid-range offerings, with the Helio X30 in 2018 adopting a unique deca-core design of two A73 cores at 2.56 GHz, four A53 cores at 2.2 GHz, and four ultra-efficient Cortex-A35 cores at 1.9 GHz, though it saw limited uptake in major flagships like select models. The Helio P series variants, such as the (2018), shifted to four A73 cores at 2.0 GHz with four A53 cores at 2.0 GHz, targeting affordable devices with capabilities via an integrated processing unit. Samsung utilized the Cortex-A73 in mid-range Exynos SoCs, notably the Exynos 7885 from 2017, which combined two A73 cores at 2.2 GHz with six A53 cores at 1.6 GHz and powered Galaxy A and J series devices like the Galaxy A8 (2018). Overall, the Cortex-A73 achieved peak integration in smartphones from 2017 to 2019, forming the performance backbone of numerous flagships and mid-tier models across these vendors.

Current Status and Legacy in 2025

By 2020, the Cortex-A73 had been phased out of flagship mobile SoCs in favor of newer architectures like the Cortex-A77 and custom designs, as manufacturers shifted toward higher-performance cores for premium devices. As of 2025, it persists primarily in low-end devices and embedded systems, where its mature design supports basic tasks like web browsing and light multimedia without demanding advanced power budgets. Ongoing adoption in 2025 centers on budget applications, such as smart appliances and gateways via processors like the SL1680, which integrates quad-core Cortex-A73 at 2.1 GHz for efficient . In automotive contexts, it serves as a foundational element for in-vehicle (IVI) and digital systems, enabling reliable performance in cost-sensitive setups like the RK3572 for single-board computers. Legacy maintenance continues for older handsets, with re-spins on 28 nm processes sustaining availability in entry-level markets. The Cortex-A73's impact lies in enabling efficient throughout the by prioritizing sustained performance under thermal constraints, which influenced ARM's transition to the DynamIQ big.LITTLE framework starting with the Cortex-A75 in 2017. Its efficiency techniques, including out-of-order retirement and compact resource structures, were inherited by successors like the A75 and A76, which built on its two-wide to achieve 20-30% performance gains at similar power levels. In 2024 studies, the core's design—featuring a verification queue limited to 11 stores after branches—provided historical insights into trade-offs between reordering capacity and power efficiency in ARM's evolution. Implementations remain vulnerable to certain security issues, such as CVE-2024-10929, requiring updates to Trusted Firmware-A and for protection. With limited representation in new ARM-based chips shipped in 2025, the Cortex-A73's reflects its niche in cost-optimized, low-volume segments rather than broad .

References

  1. [1]
    Cortex-A73 | Optimal for Mobile with Low Power Usage - Arm
    Cortex-A73 is a power-efficient processor for mobile, with a small footprint, up to 30% more efficient, and optimized for premium smartphones.
  2. [2]
    Latest ARM Premium Mobile Technology to Drive Immersive ...
    May 30, 2016 · Cambridge, UK, May 30, 2016 - ARM has announced a suite of premium mobile processor technologies to redefine flagship devices from 2017.
  3. [3]
    Cortex-A73 Product Support - Arm Developer
    The Cortex-A73 is a highly-efficient, high-performance processor with 1-4 cores, L1/L2 caches, and can be paired with other processors. It supports AArch32/64 ...
  4. [4]
    ARM's new CPU and GPU will power mobile VR in 2017 - The Verge
    May 29, 2016 · The Cortex-A73 CPU and Mali-G71 GPU are designed to increase performance and power efficiency, with a particular view to supporting mobile VR.
  5. [5]
    ARM Cortex-A73: How a top-end mobe CPU was designed from ...
    Jun 1, 2016 · For its latest top-end smartphone processor core – the Cortex-A73 – ARM designed its microarchitecture more or less from scratch.
  6. [6]
    ARM announces Cortex-A75, Cortex-A55 and Mali-G72
    May 29, 2017 · This includes the flagship Cortex-A75 CPU, which will replace the A73 and the Cortex-A55, which will replace the A53. ... predecessor and boasts ...
  7. [7]
    New ARM Cortex-A73 Processor drives efficiency, performance for ...
    May 27, 2016 · To this end, ARM has announced its latest high-performance processor, the Cortex-A73. After introducing Cortex-A72 just last year, ARM is ...
  8. [8]
    About the Cortex-A73 processor - Arm Developer
    The Cortex-A73 processor is a high-performance, low-power, Arm macrocell that implements the Armv8-A architecture. It contains up to four cores.
  9. [9]
    About the Cortex-A73 MPCore Processor Cryptographic Extension
    The Cryptographic Extension adds new A64, A32, and T32 instructions to Advanced SIMD that accelerate Advanced Encryption Standard (AES) encryption and ...Missing: CRC32 | Show results with:CRC32
  10. [10]
    Cortex A73's Not-So-Infinite Reordering Capacity - Chips and Cheese
    Aug 4, 2024 · Cortex A73 aimed to address the power and thermal issues that prevented Arm's early 64-bit cores from reaching their full potential.Missing: superscalar decode
  11. [11]
    Instructions Per Cycle - Gary explains - Android Authority
    Aug 1, 2016 · In general when ARM designs an out-of-order CPU core it opts for a smaller instruction window and higher clock speeds. The Cortex-A72 is capable ...
  12. [12]
    Arm's Cortex A73: Resource Limits, What are Those?
    Jul 18, 2024 · A73 is a two-wide out-of-order architecture with theoretically unlimited reordering capacity. It goes against the trend of going wider, deeper, and faster.Missing: successor | Show results with:successor
  13. [13]
  14. [14]
    Data side memory system - Arm Developer
    A Virtually Indexed Physically Tagged (VIPT) Level-1 (L1) data cache, which behaves as either an eight-way set associative cache (for 32KB configurations) or a ...Missing: size | Show results with:size
  15. [15]
    Arm Cortex-A73 MPCore Processor Technical Reference Manual r1p0
    ### Summary of Cortex-A73 L2 and Related Memory System Features
  16. [16]
    Arm Cortex-A73 MPCore Processor Technical Reference Manual r1p0
    Main Implementation options ; L1 data cache size. 32KB; 64KB ; L2 cache size. 256KB; 512KB; 1024KB; 2048KB; 4096KB; 8192KB ; L2 ECC support, Can be included or not ...Missing: specifications | Show results with:specifications
  17. [17]
    Arm Cortex-A73 MPCore Processor Technical Reference Manual r1p0
    ### Summary of Memory Management in Cortex-A73 Processor
  18. [18]
    ARM's newest CPU design wants to make throttling a thing of the past
    May 29, 2016 · Cortex A73 is being positioned as a replacement of sorts for Cortex A72, which in turn replaced Cortex A57. Like its two predecessors, it's a ...<|control11|><|separator|>
  19. [19]
    ARM Cortex-A73 MPCore Processor Technical Reference Manual ...
    The processor has an L2 Q-channel interface that allows an external power controller to place the L2 RAMs into a retention state. L2 RAM dynamic retention mode ...
  20. [20]
    ARM Cortex-A73 Surpasses Cortex-A72 In Both Efficiency And ...
    May 30, 2016 · Starting off, Cortex-A73 belongs to the same Sophia family, which means that the CPU design is pretty much the same as a Cortex-A17. Now ...
  21. [21]
    Exploring DynamIQ and ARM's New CPUs: Cortex-A75, Cortex-A55
    May 29, 2017 · ARM targeted the A73 specifically at mobile by focusing on power efficiency and removing some features useful for other applications to ...
  22. [22]
    ARM launches flagship cores in 'DynamIQ' style ... - eeNews Europe
    May 29, 2017 · The Cortex-A75 offers more than 20 percent more peak and mobile performance than the Cortex-A73 at about the same energy efficiency.
  23. [23]
    Qualcomm Snapdragon 835: specs and benchmarks - NanoReview
    It has 4 cores Kryo 280 (Cortex-A73) at 2450 MHz and 4 cores Kryo 280 ... Single-Core Score. 415. Multi-Core Score. 1508. Asset compression, 89.3 MB/sec.
  24. [24]
    Geekbench 5 result (single): how does ARM Cortex-A78 compare to ...
    (ARM Cortex-A78). 934. Qualcomm Snapdragon 865. Geekbench 5 is a cross-platform benchmark that measures a processor's single-core performance. (Source: Primate ...Missing: score | Show results with:score
  25. [25]
    ARM Cortex-A73 4 Core 1844 MHz - CPU Benchmarks
    CPU Test Suite Average Results for ARM Cortex-A73 4 Core 1844 MHz ; 292.5 MBytes/Sec · 11,734 KBytes/Sec · 200 Frames/Sec · 558 Million Matrices/Sec · 656 MOps/Sec ...Missing: IPC | Show results with:IPC
  26. [26]
    Flexible Licensing, Boundless Innovation: How Arm is Accelerating ...
    Nov 1, 2023 · The licensing model charged a fee upfront for technology access and negotiated royalties based on partner chip sales. The model was broadly ...
  27. [27]
    How ARM Makes Money - The ARM Diaries, Part 1 - AnandTech
    Jun 28, 2013 · The upfront fee generally ranges from $1M - $10M, although there are options lower or higher than that (I'll get to that shortly). The royalty ...
  28. [28]
    Memory System is Key to User Experience with Cortex-A73 and Mali ...
    May 27, 2016 · The ARM CoreLink CCI-550 Cache Coherent Interconnect and DMC-500 Dynamic Memory Controller have been optimized to get the best from Cortex-A73 ...
  29. [29]
    Kirin 960 Chipset | HiSilicon Official Site
    Kirin 960 takes the lead in commercializing the latest Cortex-A73 CPU and Mali-G71 GPU in the world, and supports 4CC 600Mbps. It has faster Internet access ...Missing: standard implementations MediaTek Helio X30
  30. [30]
    HiSilicon Kirin 960 Octa Core Application Processor Features ARM ...
    Oct 20, 2016 · ARM claims 30% “sustained” performance improvement between Cortex A72 and Cortex A73, but the GPU should be where the performance jump is more ...Missing: implementations | Show results with:implementations
  31. [31]
    MediaTek Helio X30
    Featuring a unique 10-core design over three clusters: dual-core ARM Cortex-A73 up to 2.6GHz, quad-core ARM Cortex-A53 up to 2.2GHz and quad-core ARM Cortex-A35 ...Missing: HiSilicon Kirin 960
  32. [32]
    Helio X30 (MT6799) - MediaTek - WikiChip
    Helio X30 (MT6799) is a 64-bit deca-core ARM LTE system on a chip designed by MediaTek and launched in early 2017.Missing: implementations 960<|separator|>
  33. [33]
    Qualcomm's Kryo 280 and 'Built on ARM Cortex Technology ...
    Jan 4, 2017 · The new Kryo 280 CPU inside Qualcomm's new Snapdragon 835 is the first semi-custom ARM CPU core design in the smartphone market.
  34. [34]
    Kryo: Qualcomm's Last In-House Mobile Core - Chips and Cheese
    Jul 13, 2023 · Kryo has an exceptionally strong set of integer execution units. With four ALUs, it has as much per-cycle throughput for basic integer ...
  35. [35]
    Early Snapdragon 835 benchmarks show mixed results from semi ...
    Overall, 835's integer performance appears to be a couple of percent better than Kirin 960/stock Cortex-A73, and 22 percent over Kryo, on a per- ...Missing: single | Show results with:single
  36. [36]
    Kirin 970 Chipset | HiSilicon Official Site
    HiSilicon Kirin 970 adopts advanced TSMC 10nm process and integrates 5.5 billion transistors on a nail sized chip, including 8-core CPU, 12 core GPU, ...
  37. [37]
    Kirin 970 - HiSilicon - WikiChip
    Sep 1, 2017 · This chip, which is fabricated on a 10 nm process, features four Cortex-A73 big cores operating at up to 2.36 GHz along with four Cortex-A53 ...
  38. [38]
    Mali-G72 High Performance GPU debuts in HiSilicon's Kirin 970 SoC
    Sep 20, 2017 · The Kirin 970, built on a 10nm process node, is the successor ... With four premium Cortex-A73 'big' cores and four Cortex-A53 'LITTLE ...
  39. [39]
    Huawei Mate 9 - CPU - Device Specification
    SoC: Huawei HiSilicon KIRIN 960 ; CPU: 4x 2.36 GHz ARM Cortex-A73, 4x 1.84 GHz ARM Cortex-A53, ; Cores: 8 ; GPU: ARM Mali-G71 MP8, 1037 MHz, ; Cores: 8
  40. [40]
    Samsung Galaxy S8 Smartphone with a Snapdragon 835 processor
    The Samsung Galaxy S8 Smartphone is powered by a Snapdragon 835 processor. Explore features, read device specs and learn where to buy.
  41. [41]
    Snapdragon 6 Series - Qualcomm - WikiChip
    Apr 6, 2025 · Qualcomm Snapdragon 6 & 600 Series Processors[edit] ; Snapdragon 660, 4x ARM Cortex-A73 @2.2GHz 4x ARM Cortex-A53 @1.84GHz, Adreno 512 ...
  42. [42]
    MediaTek Unveils Helio X30 to Power Premium Mobile Experiences
    Feb 27, 2017 · 10nm, 10-Core, Tri-Cluster architecture built for extreme performance: two ARM Cortex-A73 at 2.5 GHz, four ARM Cortex-A53 at 2.2 GHz and four ...
  43. [43]
    Helio P60 | Intelligent Edge-AI Infusion - MediaTek
    It combines the incredible power of four, big Arm Cortex‑A73 processors among its octa‑core CPU; a multicore AI Processor (NPU) for efficient edge‑AI ...
  44. [44]
    Samsung Exynos 7885 SoC - Benchmarks and Specs
    It was launched early 2018 alongside the Samsung Galaxy A8 2018 series and features two Cortex-A73 cores clocked at up to 2.2 GHz.
  45. [45]
    Exynos 7885 - Samsung - WikiChip
    Jan 16, 2021 · Microarchitecture. ISA, ARMv8 (ARM). Microarchitecture, Cortex-A73, Cortex-A53. Core Name, Cortex-A73, Cortex-A53. Process, 14 nm, LPP.
  46. [46]
    ARM Cortex CPU cores in 2025 explained - Inquisitive Universe
    Jun 7, 2025 · Budget. Cortex A73; Cortex A75. These cores are a step up from entry-level, offering smoother performance for everyday tasks. Expect 220–300k ...
  47. [47]
    SL1680 Embedded IoT Processor | Product Brief - Synaptics
    The SL1680 leverages a powerful GPU for advanced graphics and AI acceleration, quad-core Arm® Cortex®-A73 64-bit CPU subsystem performance, a multi-TOPS NPU and ...
  48. [48]
    State of Embedded: Q4 2025 Overview - SBCwiki
    Oct 22, 2025 · It uses 4x Cortex-A73 cores delivering up to 40000 DMIPS, NPU with up to 7.9+ TOPS, PowerVR Series9XE GE9920 GPU, and can decode 4K video (even ...