Fact-checked by Grok 2 weeks ago

Direct bonding

Direct bonding, also known as fusion bonding or direct wafer bonding, is a technique that joins two flat, clean, and highly polished surfaces—typically wafers—without adhesives or intermediate layers, relying on the spontaneous formation of chemical bonds, such as van der Waals forces initially and covalent bonds after annealing, to create a strong, permanent interface. The process begins with meticulous surface preparation, including chemical-mechanical polishing to achieve sub-nanometer roughness (less than 0.6 nm RMS) and cleaning in a controlled to remove contaminants, often followed by via wet chemical treatments or exposure to render surfaces hydrophilic or hydrophobic as needed. Upon precise alignment and contact at , weak intermolecular forces enable initial with bonding energies of 0.1–0.2 J/m² for hydrophilic surfaces; subsequent thermal annealing at 400–1100°C then promotes robust covalent bonding, such as Si-O-Si for oxidized interfaces or direct Si-Si linkages, yielding strengths comparable to bulk material. Direct bonding traces its origins to the early , when researchers at Laboratories developed it for assembling flat-mirror structures in gas lasers, but it achieved widespread adoption in the through innovations in hydrophilic silicon bonding for silicon-on-insulator (SOI) substrates, as reported in seminal works by Shimbo et al. Post-World War II advancements further expanded its use in semiconductors, , and microsystems, with key milestones including the integration of low-temperature processes and the Smart-Cut™ method in the 1990s for layer transfer. This technique underpins critical applications in modern electronics, including SOI wafers for high-speed, low-power transistors in devices; microelectromechanical systems () such as accelerometers, pressure sensors, and gyroscopes; heterogeneous material integration, like III-V compounds on for photonic devices and LEDs; and 3D IC stacking for enhanced performance in advanced packaging. Its advantages—such as enabling novel material combinations unattainable via , precise nanoscale alignment, and bond strengths exceeding 20 MPa—have made it indispensable, though challenges like managing mismatches and minimizing voids persist.

Fundamentals

Definition and Principles

Direct bonding, also known as fusion bonding, is a wafer-level joining technique that unites two flat surfaces, typically wafers such as , without intermediate adhesives, solders, or other layers. This process relies on direct chemical bonds formed between the atoms on the contacting surfaces, initially through weak van der Waals forces and later strengthened into covalent bonds via annealing. The fundamental principles of direct bonding center on achieving atomic-scale contact between the surfaces, which generates sufficient from interatomic forces to drive spontaneous . This contrasts with other methods, such as anodic bonding that applies an electric field to facilitate joining between materials like and , or that incorporates interlayers for attachment. The process was first observed in the early , though systematic development occurred later. For effective bonding, the surfaces must be ultra-clean and exceptionally flat, with () roughness typically below 1 to maximize the contact area and minimize gaps that could prevent interatomic interactions. At , the initial is relatively weak and mediated by van der Waals forces, but annealing at elevated temperatures promotes the formation of robust covalent bonds, enhancing the overall bond strength.

Surface Chemistry and Requirements

Direct bonding relies on the precise chemical state of the mating surfaces to initiate and strengthen . Hydrophilic surfaces, typically terminated with hydroxyl (OH) groups on or , enable initial bonding through water-mediated bonds between (Si-OH) groups across the . In contrast, hydrophobic surfaces, prepared by removing the native oxide layer with () to yield -terminated (H-Si) , form weaker initial bonds via interactions, such as Si-F...H-Si linkages, which provide stability at but require annealing for enhancement. These surface terminations dictate the transition from reversible van der Waals forces to stronger interactions, with hydrophilic conditions favoring water-assisted processes and hydrophobic ones emphasizing direct atomic contact. Material requirements for successful direct bonding emphasize high surface quality to maximize contact area and minimize voids. Primarily applied to wafers, the technique extends to , metals like , and III-V semiconductors such as , provided surfaces meet stringent criteria. Critical parameters include microroughness below 0.5 nm () to ensure intimate contact, particle-free cleanliness achieved via wet chemical or treatments, and surface flatness with bow and warp limited to under 5 μm across a 300 mm wafer to accommodate elastic deformation without gaps. Control of the native layer is essential: hydrophilic bonding preserves a thin (1-2 nm) for termination, while hydrophobic bonding removes it entirely to expose bare . The chemical reactions underpinning bond formation vary by surface type. In hydrophilic cases, annealing induces of adjacent Si-OH groups, releasing and forming stable Si-O-Si covalent bonds that propagate through the interface, often via a two-step process involving initial sealing and subsequent growth. For hydrophobic or plasma-activated bonding, direct Si-Si covalent bonds emerge upon high-temperature annealing (above 600°C), as desorbs and atoms from opposing surfaces form lattice-matched connections, bypassing mediation. These reactions require controlled energy input to avoid defects, with bond strength scaling with annealing duration and temperature. Environmental factors significantly influence surface stability and bonding efficacy. Ambient humidity enhances hydrophilic bonding by promoting capillary condensation of water vapor, which forms bridges that boost adhesion energy up to 0.14 J/m² at relative humidity near 100%, though excessive exposure can destabilize hydrophobic surfaces via re-hydroxylation. Vacuum or inert atmospheres, such as nitrogen, are preferred for hydrophobic preparations to prevent oxide regrowth and maintain H-termination, ensuring consistent bond initiation without contamination. These conditions underscore the need for cleanroom environments to preserve surface chemistry prior to contact.

Historical Development

Early Discoveries

The phenomenon of direct bonding, involving the spontaneous of clean, flat surfaces without adhesives, was first observed in the context of processing during the early 1960s. Researchers at Laboratories, including H. G. van Bueren, J. Haisma, and H. de Lang, documented initial between polished pieces in 1962, attributing it to intimate contact under controlled conditions, which laid the groundwork for understanding van der Waals interactions at interfaces. By the early 1970s, experimental studies had advanced to explore room-temperature bonding of ultra-clean silicon surfaces, revealing that mirror-polished wafers could adhere spontaneously when free of contaminants, though the bonds remained weak without further treatment. These investigations, building on prior optical and materials science work, emphasized the importance of surface flatness achieved through mechanical polishing, connecting directly to evolving semiconductor fabrication practices. For instance, a 1974 study on III-V compounds like GaAs demonstrated similar adhesion mechanisms, influencing silicon research by highlighting the universality of direct contact bonding in clean environments. Early experiments quickly identified key challenges, such as the detrimental role of surface contamination—particles, organics, or oxides—that disrupted uniform contact and reduced adhesion energy, often leading to voids or incomplete . To overcome these, high-temperature annealing (typically 800–1100°C) was recognized as essential for transforming initial or van der Waals bonds into strong covalent silicon-oxygen-silicon linkages, significantly increasing strength to levels exceeding 2 J/m². These discoveries were deeply rooted in pre-1980s advancements in surface preparation from the 1950s and 1960s, including chemical-mechanical for achieving sub-micrometer flatness and wet cleaning methods that removed native oxides and residues. The 1965 development of the cleaning process, involving sequential SC-1 and SC-2 solutions, proved pivotal by enabling hydrophilic surfaces prone to spontaneous , thus providing the foundational cleanliness required for reliable direct bonding observations.

Key Milestones and Evolution

The evolution of direct bonding technology accelerated in the with key breakthroughs in wafer fusion processes. In 1985, J. B. Lasky and colleagues at developed a hydrophilic direct bonding for fabricating silicon-on-insulator (SOI) wafers, the room-temperature contact and high-temperature annealing of oxidized surfaces to achieve strong, void-free bonds suitable for advanced substrates. This approach, along with the seminal work by Shimbo et al. in 1986 on silicon-to-silicon direct bonding, built on initial observations of spontaneous between polished surfaces noted in the . Researchers also began exploring hydrophobic bonding variants in the late and early , where HF-treated surfaces were contacted to form initial bonds via der Waals forces, though full development and optimization occurred later. During the 1990s, direct bonding gained standardization for packaging, with dedicated equipment emerging to ensure precise alignment and high bond yields for hermetic sealing and structural integration in sensors and actuators. A pivotal commercial milestone came in 1996 when introduced large-scale production of SOI wafers using direct bonding combined with the process, which involved implantation and splitting to transfer thin layers, revolutionizing manufacturing for high-performance . In the 2000s, direct bonding evolved to integrate seamlessly with complementary metal-oxide-semiconductor () processes, allowing the stacking of processed wafers while preserving device functionality through controlled annealing conditions that minimized thermal budgets. Low-temperature variants emerged to accommodate temperature-sensitive devices, such as those incorporating III-V materials or polymers, by enhancing surface activation techniques to achieve robust bonds below 400°C, thereby expanding applications in and heterogeneous integration. Pre-2020 advancements focused on improving and , with the adoption of automated systems enabling sub-micrometer across 300 mm wafers and reducing defects to support high-volume manufacturing. These systems, like the EVG 850 , integrated for real-time bond monitoring, boosting process reliability and throughput in industrial settings.

Conventional Processes

Hydrophilic Silicon Wafer Bonding

Hydrophilic wafer bonding is a conventional direct bonding technique that joins two wafers covered with thin native layers through water-mediated bonding at , followed by thermal annealing to form covalent Si-O-Si bonds. This process relies on the hydrophilic nature of the oxide surfaces, where adsorbed water molecules bridge the interfaces initially. The method was first demonstrated in the mid-1980s and remains foundational for applications requiring robust, oxide-mediated adhesion without adhesives. Wafer preprocessing is critical to achieve clean, flat, and hydrophilic surfaces suitable for bonding. Standard cleaning is employed, consisting of an SC-1 step (using a mixture of NH₄OH, H₂O₂, and H₂O at 70–80°C) to remove organic residues and particles, followed by an SC-2 step (HCl, H₂O₂, and H₂O at similar temperatures) to eliminate metallic contaminants. This cleaning sequence forms a thin native layer, typically 1–2 thick, which hydroxylates the surface (Si-OH termination) and ensures hydrophilicity with contact angles near 0°. To further mitigate particle-induced defects, additional steps such as megasonic agitation in deionized water or brush scrubbing are applied, maintaining particle counts below 0.1/cm² for high-yield bonding. Room-temperature pre-bonding occurs in a environment (Class 100 or better) under controlled humidity (typically 40–60% RH) to promote uniform contact. The pre-cleaned wafers are aligned using infrared transmission or edge alignment tools and brought into contact with minimal applied pressure (less than 1 kPa), initiating spontaneous via van der Waals forces and hydrogen bonds between surface groups and interlayer molecules. The initial at this stage is low, approximately 0.1–0.3 J/m², sufficient to hold the wafers together but requiring subsequent annealing for strengthening. Optimal native thickness of 1–2 ensures intimate contact without excessive entrapment. Elevated-temperature annealing in an inert atmosphere (e.g., or at 10⁻³–10⁻⁵ ) drives the bonding process by dehydrating the interface and forming covalent Si-O-Si linkages through condensation reactions (2 Si-OH → Si-O-Si + H₂O). Annealing temperatures range from 200–1100°C, with bond energy evolving progressively: from ~0.2 J/m² at 200–300°C (primarily hydrogen-bond dominated) to ~0.5 J/m² after 2 hours at 400°C (initial covalent formation), reaching over 1 J/m² at 800–1100°C, approaching the bulk fracture energy of 1.5–2 J/m². Typical parameters include ramp rates of 5–10°C/min, hold times of 1–4 hours depending on (e.g., 2 hours at 400°C for partial strength or 2 hours at 1100°C for full strength), and controlled cooling to minimize . Void formation, which can compromise bond uniformity, is prevented by ensuring particle-free surfaces during preprocessing and pre-bonding, as well as optimizing annealing conditions to allow gradual out-diffusion of without localized buildup. Excessive initial or uneven contact leads to gas entrapment, forming voids up to several in diameter, but these are minimized by using dry inert gases and annealing profiles that promote uniform . Post-anneal inspection via infrared imaging confirms void-free interfaces when surface preparation and parameters are optimized.

Hydrophobic Silicon Wafer Bonding

Hydrophobic silicon wafer bonding involves the direct joining of hydrogen-terminated silicon surfaces without an intervening oxide layer, enabling oxide-free interfaces suitable for applications requiring electrical conductivity across the bond. Wafer preprocessing for hydrophobic bonding requires an HF dip to etch away the native oxide and achieve hydrogen passivation of the silicon surface, typically using a dilute HF solution (1-10%) without subsequent rinsing to maintain hydrophobicity. This H-termination renders the surface non-wetting and stable against immediate reoxidation, but the process demands ultra-high vacuum (UHV) or inert atmospheres (e.g., nitrogen or argon) to preserve the passivation for extended periods, as exposure to ambient air can lead to rapid hydrocarbon adsorption or partial reoxidation within minutes. In the room-temperature pre-bonding stage, the prepared wafers are aligned and brought into contact with minimal applied pressure (less than 1 kPa), initiating adhesion through weak intermolecular forces such as van der Waals interactions or bridging between residual Si-H and Si-F groups, yielding an initial of approximately 0.02–0.1 J/m². This low-energy interface provides sufficient self-alignment for wafer-scale bonding but is weaker than hydrophilic bonding (which achieves ~0.1–0.3 J/m² via bonds mediated by ) and is highly sensitive to particulate or , which can propagate defects; thus, bonding is often performed immediately after preprocessing in or controlled environments to achieve yields exceeding 90%. , particularly on (100) orientations where dihydride terminations are prone to dimerization, must also be managed through optimized etching conditions to ensure atomic flatness and uniform H-coverage. Elevated-temperature annealing follows pre-bonding to strengthen the interface, with heating to 300-800°C in a or inert ambient breaking Si-H bonds, desorbing as H₂ gas, and facilitating the formation of covalent Si-Si bonds across the mated surfaces. increases progressively with temperature, reaching values comparable to the silicon fracture energy (~1.5-2 J/) after 1-2 hours at 600-800°C, enabling robust, void-free interfaces without intermediate layers. In contrast to hydrophilic bonding, hydrophobic bonding requires this thermal step for comparable final strengths but offers advantages in direct Si-Si . Key challenges in hydrophobic bonding include heightened susceptibility to organic due to the non-polar surface, which adsorbs hydrocarbons more readily than oxide-terminated surfaces, and potential atomic-scale roughness from if is not precise. These are addressed through in-situ processing techniques, such as integrated dipping and bonding in UHV systems, which have demonstrated yield improvements from ~50% in ambient conditions to over 95% by minimizing exposure time and using spin-rinse-dry sequences under inert gas.

Advanced Techniques

Low-Temperature Direct Bonding

Low-temperature direct bonding refers to techniques that form robust covalent bonds between semiconductor surfaces at annealing temperatures below 300°C, typically in the range of 100-250°C, by employing chemical or physical surface activation to promote initial adhesion without requiring high thermal energy for dehydration. This approach leverages activation methods to generate reactive sites on the surfaces, enabling bond initiation at room temperature or slightly elevated conditions, followed by low-temperature annealing to strengthen the interface. Such processes are particularly valuable for hybrid integration, where they minimize thermal stress that could damage temperature-sensitive layers, such as metallized structures or compound semiconductors. Key methods in low-temperature direct bonding include mild exposure prior to annealing, which creates dangling s on the surfaces to facilitate covalent linking without complete removal of surface hydroxyl groups. In these techniques, formation occurs primarily through the of activated dangling s, allowing intermolecular forces to evolve into stable Si-O-Si or Si-Si linkages during subsequent heating, distinct from higher-temperature processes that rely on extensive . For instance, oxygen or treatments at low power levels prepare hydrophilic surfaces for immediate room-temperature adhesion, setting the stage for low-heat strengthening. Annealing in low-temperature direct bonding involves short thermal cycles to propagate the bonds, such as 30 minutes at 200°C, which can yield interface energies exceeding 0.5 J/m² for silicon-silicon pairings, approaching half the fracture energy of bulk silicon without inducing significant defects. These conditions promote the diffusion of reactive species across the interface, enhancing bond density while limiting outgassing and void formation. Compared to conventional annealing at over 400°C, this reduces processing time and energy consumption, making it suitable for scalable fabrication. The primary advantages of low-temperature direct bonding lie in its compatibility with pre-existing metallization layers, which might otherwise degrade under high heat, and its applicability to sensitive materials like III-V compounds (e.g., InP or GaAs) for heterostructure assembly. It excels in thin-film transfers, enabling the precise relocation of epitaxial layers onto dissimilar substrates with minimal lattice mismatch stress, thus supporting advanced photonic and optoelectronic devices. This method's ability to maintain material integrity during integration has facilitated innovations in 3D stacking and hybrid photonics.

Plasma-Activated and Surface-Activated Bonding

Plasma-activated bonding (PAB) employs reactive gases such as oxygen (O₂) or nitrogen (N₂) in a plasma environment to generate dangling bonds or hydroxyl groups on wafer surfaces, facilitating covalent bonding similar to hydrophilic processes but at significantly reduced temperatures below 200°C. The process typically involves initial surface cleaning with solvents and RCA solutions, followed by plasma exposure for 10-60 seconds at powers around 100-130 W to increase surface energy and remove contaminants, immediate contact bonding under moderate pressure (e.g., 10 kN), and optional low-temperature annealing at 150-250°C for 1-12 hours to enhance bond strength. This method achieves bond energies of approximately 1.5-2.3 J/m² at room temperature, rising to higher levels after annealing, enabling void-free interfaces for silicon-based materials, such as up to ~5 MPa for GaAs/Si heterostructures. PAB's advantages include compatibility with temperature-sensitive substrates, as demonstrated in heterostructure bonding of GaAs to Si, where amorphous interlayers (~7 nm) form without significant diffusion issues. Surface-activated bonding (SAB), pioneered by Tadatomo Suga in the 1990s, utilizes fast atom bombardment (FAB) with neutral argon atoms or Ar ion beams under ultra-high vacuum (UHV, ~10⁻⁸ Torr) to sputter-clean and activate surfaces, breaking native oxides and exposing fresh atomic layers for direct interatomic bonding at room temperature. The process entails sequential activation of each wafer surface for 10-30 seconds, followed by immediate mating under low pressure (0.1-1 MPa) in the same vacuum chamber, yielding robust bonds without annealing for many material pairs. SAB supports room-temperature bonding of diverse materials, including metals like Cu, glasses, and heterogeneous combinations such as Si to sapphire or InGaAsP to GaAs, with bond energies reaching up to 2 J/m², comparable to fusion bonding. It excels in applications requiring precise alignment, such as Cu-Cu hybrid bonding for 3D IC stacking, where optimized ion beam parameters minimize voids and achieve high-throughput processing. In the 2020s, advancements in both techniques have expanded material compatibility to wide-bandgap semiconductors like and , as well as dielectrics, through refined protocols that reduce interfacial voids via controlled surface roughening and contamination removal. As of 2025, progress includes high-density wafer-to-wafer hybrid bonding using plasma for pitches below 1 μm in 3D integration. For instance, plasma with Ar ions has enabled SiC/Si bonding with strengths up to 18 MPa after 200°C annealing, while SAB variants using FAB have facilitated GaN/ interfaces for , emphasizing scalable UHV systems for industrial adoption. These methods often integrate brief low-temperature annealing from broader direct bonding strategies to further densify interfaces without compromising heterogeneous material integrity.

Characterization and Quality

Bond Strength and Measurement Methods

Bond strength in direct bonding is primarily evaluated through metrics such as interfacial (measured in J/m²), (in ), and hermeticity, which collectively indicate the quality and reliability of the bond interface. , often synonymous with fracture energy in this context, quantifies the energy required to separate the bonded surfaces and typically ranges from 0.1 to 0.5 J/m² for initial room-temperature bonds, increasing to 1-3 J/m² after high-temperature annealing, approaching the bulk fracture energy of approximately 2.5 J/m². measures the bond's resistance to lateral sliding forces and commonly achieves values of 10-20 for well-annealed - bonds, with higher values up to 40 possible in optimized conditions. Hermeticity assesses the seal's to maintain a or pressure differential, critical for encapsulated devices, and is considered adequate when leak rates are below 10^{-9} ·/s, as per industry benchmarks. Measurement methods for these metrics include the double cantilever beam (DCB) technique for determining fracture energy, transmission for void detection, and for identifying delaminations. In the DCB method, a razor blade is inserted at the bond edge to initiate crack propagation, and the crack length is measured via ; the energy release rate is then calculated from the beam deflection and compliance changes, providing a quantitative of interfacial . transmission reveals unbonded areas or voids by contrasting bonded (opaque) and unbonded (transparent) regions in wafers, enabling non-destructive mapping of bond uniformity over large areas. uses ultrasonic waves to detect subsurface defects like delaminations or bubbles, producing C-scan images that highlight interface weaknesses with high resolution. These methods are often combined for comprehensive evaluation, with DCB serving as a destructive standard for validation. Recent advances include numerical modeling for mechanical analysis of bonded interfaces to predict performance without physical testing. Reliability under operational stresses is tested through thermal cycling, humidity exposure, and mechanical shear or pull tests, following standards such as Method 1014 for hermeticity via helium fine leak detection. Thermal cycling involves subjecting bonded samples to repeated temperature excursions (e.g., -40°C to 150°C) to simulate environmental , monitoring for or strength degradation via post-test DCB or analysis. Humidity tests expose bonds to elevated moisture (e.g., 85°C/85% RH) to evaluate or water-induced weakening at the , often quantified by changes in . Shear and pull tests apply controlled forces to measure ultimate strength, with pull tests using tensile loading to achieve values aligned with DCB-derived energies, ensuring compliance with reliability thresholds for . Quantitative models for energy release rate, such as those based on linear elastic in DCB setups, predict bond behavior under load by relating applied to crack growth rates. Factors influencing bond strength include interface defects like particles or voids, which reduce effective contact area and lower , and annealing conditions, which promote formation to enhance strength. Defects at the , such as inclusions or microroughness, can limit fracture energy to below 1 J/m² by acting as stress concentrators, while optimal annealing (e.g., 300-1100°C in inert atmospheres) drives desorption and condensation, yielding energies up to 2.5 J/m². Models incorporating these factors, like those simulating defect-induced energy release rates, help correlate processing variations to mechanical performance without direct experimentation. Annealing plays a key role in strength buildup by facilitating interfacial and reaction.

Technical Specifications and Parameters

Direct wafer bonding processes are compatible with silicon wafers up to 300 mm in diameter, enabling scalability in semiconductor manufacturing. Alignment accuracy typically achieves sub-micrometer precision, often less than 1 μm, to ensure precise overlay during bonding. These operations require controlled environments, such as ISO 5 cleanrooms, to minimize particulate and maintain surface quality. Variant-specific parameters vary by process type. Conventional hydrophilic and hydrophobic wafer bonding employs annealing temperatures ranging from 200°C to 1100°C, often for durations of 2 hours, to achieve robust interfacial reactions. In contrast, advanced techniques like low-temperature or plasma-activated bonding limit annealing to below 400°C, preserving temperature-sensitive structures. Bonding generally falls between 0.1 and 1 , applied uniaxially to initiate contact without adhesives, though some processes occur spontaneously at . Throughput depends on equipment automation, typically ranging from 1 to 5 wafers per hour in semi-automated systems, with higher rates in production lines. Essential equipment includes specialized bonder tools, such as the or systems, which integrate alignment stages and plasma activation modules, alongside vacuum chambers for low-pressure environments during initial contact. Energy consumption remains moderate, particularly in advanced low-thermal-budget variants, though exact figures vary by tool configuration. Commercial processes target yields exceeding 95%, supported by rigorous surface preparation to minimize defects. Safety and scalability emphasize thermal budget management, with advanced methods restricting temperatures to under °C for heterogeneous integrations, and contamination controls via SC-1 chemical and particle . In the , optimizations for high-volume have focused on automated die-to-wafer hybrid bonding, enhancing reproducibility and reducing defects for 3D IC production. These parameters contribute to bond strengths often exceeding 1 J/, as measured in quality assessments.
ParameterConventional BondingAdvanced Bonding
Annealing Temperature200–1100°C<400°C
Bonding Pressure0.1–1 0.1–0.5 (often spontaneous)
Typical Throughput1–3 wafers/hour (semi-automated)2–5 wafers/hour (plasma-assisted)

Applications and Examples

In Microelectronics and MEMS

Direct bonding is integral to the fabrication of silicon-on-insulator (SOI) wafers, which enable high-performance transistors in microelectronics by isolating the active silicon layer from the substrate, thereby minimizing parasitic capacitances and enhancing device speed. The SOITEC Smart Cut process, a seminal method for SOI production, utilizes hydrophilic direct bonding where a hydrogen-ion-implanted donor wafer is bonded at room temperature to a handle wafer, followed by a splitting step to transfer an ultra-thin silicon layer (typically 10-100 nm thick). This layer transfer technique supports advanced CMOS technologies, such as fully depleted SOI (FDSOI), by providing low-defect interfaces that reduce junction capacitances by up to 50% compared to bulk silicon, leading to lower power consumption and higher switching speeds in integrated circuits. In fabrication, direct bonding facilitates encapsulation of inertial sensors like accelerometers and gyroscopes, creating vacuum-sealed cavities without adhesives to protect delicate microstructures from contamination and mechanical stress. direct bonding (SDB), often performed after cleaning and annealing at 800-1100°C, achieves bond energies exceeding 2 J/m², ensuring long-term reliability under harsh conditions, as demonstrated in commercial automotive and consumer-grade devices. Plasma-activated variants further enable low-temperature (150°C) bonding for sensitive structures, such as free-hanging proof masses in accelerometers, while maintaining seals verified by leak tests below 10^{-9} ·m³/s. Representative examples include back-illuminated image sensors, where direct attaches a processed to a , allowing backside thinning to 4-5 μm for improved (up to 90%) by reducing light absorption in wiring layers. Similarly, -glass direct bonding is employed in capacitive pressure sensors, bonding a thinned diaphragm (e.g., 23 μm) to a glass substrate to form a reference cavity, yielding sensitivities around 43 μV/V/kPa for applications in biomedical and industrial monitoring. The benefits of direct bonding in these fields include reduced parasitic effects through adhesive-free interfaces, which lower and enhance in high-frequency transistors, alongside superior thermal management via direct silicon-silicon paths that improve heat dissipation in stacked structures. These advantages have driven widespread adoption in the sector, where direct bonding predominates in packaging by enabling scalable, cost-effective wafer-level integration.

In 3D Integration and Emerging Uses

Direct bonding plays a pivotal role in 3D integrated circuits (3D ICs) through hybrid bonding techniques that combine (Cu) interconnects with materials, enabling the stacking of chiplets for enhanced performance and density. This approach allows for fine-pitch interconnections without bumps, facilitating high-bandwidth, low-latency communication in multi-die systems. For instance, demonstrated the feasibility of wafer-to-wafer hybrid bonding at a 250 nm interconnect pitch in 2025, achieving high yield and supporting logic-on-logic or memory-on-logic configurations as part of 2.0 architectures. In heterogeneous integration, direct bonding enables the seamless combination of (Si) with III-V compound semiconductors, particularly for photonic applications where lattice mismatches pose challenges. Surface-activated bonding (SAB) has been employed to directly bond GaN to Si or SiC substrates at , avoiding intermediate layers and preserving material integrity for high-power devices. A notable example is the integration of InGaAs p-i-n membranes onto GaN via micro-transfer printing and van der Waals direct bonding, yielding photodetectors with 0.456 A/W responsivity at 1550 nm wavelength, which extends GaN's utility in and optical communications. Emerging applications of direct bonding extend to quantum devices and , leveraging its precision for novel material stacks. In quantum technologies, plasma-activated direct bonding of single-crystal membranes (10–500 nm thick) to substrates like , , and preserves spin coherence (T₂ = 632 μs for NV centers) and enables nanophotonic cavities with quality factors up to 21,883, advancing heterogeneous quantum and biosensing in 2024. For , low-temperature plasma-activated bonding (85°C) achieves full-surface between gold electrodes and parylene substrates without adhesives, supporting ultra-flexible 3D-stacked sensors with 0.5 mm bending radius and stretchable up to 130% elongation for wearable health monitoring. Recent 2024–2025 advances include backside power delivery networks (BSPDN), where demonstrated 120 nm pitch through-dielectric vias reducing IR drop by 122 mV, and Intel's Selective Layer Transfer for hybrid bonding with 100x throughput gains, both enhancing high-density wafer-to-wafer stacking in systems. Looking to future prospects, direct bonding is essential for sub-1 nm nodes, as outlined in imec's roadmap, where hybrid wafer-to-wafer stacking in 2.0 enables complementary FETs at 0.7 nm (A7) by 2030, integrating optimized tiers for improved power-performance-area-cost metrics. However, challenges persist, including submicron alignment accuracy (±1 µm, 3σ) for fine pitches below 1 µm, warpage, and particle control, necessitating advanced tools for manufacturability. adoption is accelerating, with planning high-volume manufacturing of 2 nm nodes with backside power in late 2025 and targeting process leadership via 18A (1.8 nm equivalent) with hybrid bonding for chiplets by 2025.

References

  1. [1]
    Direct Bonding - an overview | ScienceDirect Topics
    Direct bonding is defined as the bonding of materials without an intervening layer, resulting in strong connections primarily observed in ductile metals ...
  2. [2]
    Silicon‐to‐silicon direct bonding method - AIP Publishing
    It was found that strong bonding takes place when a pair of clean, mirror‐polished silicon surfaces are contacted at room temperature after hydrophilic surface ...
  3. [3]
    Bonding Methods
    Oct 14, 2014 · Direct Wafer Bonding​​ Typically the adhesion is weak at room temperature (mediated by Van der Waals forces) and maximum bond strength is reached ...
  4. [4]
    [PDF] Wafer direct bonding: tailoring adhesion between brittle materials
    This type of bonding is reversible provided no subsequent heat treatment has been performed. To show that recent progress in wafer direct bonding permits ...
  5. [5]
    Types of Silicon Wafer Bonding and Benefits They Offer
    Aug 8, 2025 · Anodic bonding, also known as electrostatic bonding, typically bonds silicon to a glass wafer containing mobile ions (like borosilicate glass).
  6. [6]
    Overview of recent direct wafer bonding advances and applications
    Feb 1, 2011 · In the 1980s, several direct wafer bonding processes were developed for hydrophilic surface bonding in order to make silicon-on-insulator (SOI) ...
  7. [7]
    A review of silicon-based wafer bonding processes, an approach to ...
    Jun 9, 2021 · It is suggested that if the root mean square (RMS) roughness is less than 1 nm, it poses no obstacles in DWB at room temperature via hydrogen ...<|control11|><|separator|>
  8. [8]
    [PDF] Direct wafer bonding for MEMS and microelectronics
    Direct wafer bonding is a method for fabricating advanced substrates for microelectromechanical systems (MEMS) and integrated circuits (IC). The most.
  9. [9]
    Hydrophilic low-temperature direct wafer bonding - AIP Publishing
    Dec 19, 2008 · Direct wafer bonding refers to a process by which two mirror-polished wafers are put into contact and held together at room temperature (RT) by adhesive forces.
  10. [10]
    Hydrophobic silicon wafer bonding - AIP Publishing
    Jan 31, 1994 · The interface energy of the bonded hydrophobic Si wafer pairs does not change appreciably with time up to 150 °C. This stability of the bonding ...
  11. [11]
    III-V/Si hybrid photonic devices by direct fusion bonding - PMC
    Apr 2, 2012 · Here we present a GaAs/Si direct fusion bonding technique to provide highly conductive and transparent heterojunctions by heterointerfacial band engineering.
  12. [12]
    Room-temperature direct bonding of silicon and quartz glass wafers
    Jun 2, 2017 · We demonstrate a facile bonding method for combining Si/Si, Si/quartz, and quartz/quartz wafers at room temperature (∼25 °C) using a one-step O 2 /CF 4 /H 2 O ...
  13. [13]
    Direct Bonding of Amino-Modified Silica and Metal Oxides
    Dec 7, 2024 · In the present study, the bonding strength between various metal oxides and silica (SiO 2 ) modified with hydroxyl (Si–OH) or amino groups (Si–NH 2 ) is ...
  14. [14]
    Role of humidity and surface roughness on direct wafer bonding
    Apr 17, 2024 · Here we study the dependency of the work of adhesion on the humidity and surface roughness in hydrophilic direct wafer bonding.
  15. [15]
    Silicon-on-Insulator Wafer Bonding-Wafer Thinning Technological ...
    The bonding of two silicon wafers is brought about by Van der Waals forces ... [16] H. G. van Bueren, J. Haisma and H. de Lang 1962 Physics Lett. 2 340 ...
  16. [16]
    Mechanisms for room temperature direct wafer bonding
    Mar 7, 2013 · During the early development of the direct wafer bonding process for silicon and its oxide, annealing temperatures in the range of 800 °C and ...
  17. [17]
    [PDF] Cleaning Technology in Semiconductor Device Manufacturing
    More than any other operation performed on the wafer, wafer cleaning will have to go through major changes to meet these future requirements. The organizers ...
  18. [18]
    Origins of Wetting | Langmuir - ACS Publications
    Jul 26, 2016 · (25, 34) Consider the experiment depicted in Figure 4. A silicon wafer with a thin native oxide layer is cleaned by oxygen plasma. A drop of ...
  19. [19]
    Silicon-on-insulator (SOI) by bonding and ETCH-back - IEEE Xplore
    Silicon-on-insulator (SOI) by bonding and ETCH-back. Abstract: A silicon wafer bonding process is described in which only thermally grown oxide is present ...
  20. [20]
  21. [21]
    (PDF) Wafer Direct Bonding: From Advanced Substrate Engineering ...
    Wafer direct bonding enables the adhesion of clean, flat surfaces without adhesives, achieving high bond strengths post-annealing. Silicon-on-insulator (SOI) ...
  22. [22]
    Bonding of CMOS Processed Wafers - ScienceDirect.com
    This chapter describes the special bonding processes and conditions required for bonding of highly sophisticated, processed CMOS wafers.
  23. [23]
    EVG®850 LT Automated SOI / Direct Wafer Bonding System
    Thus, the field-proven, industry-standard EVG850 LT assures a high-throughput, high-yield production process for void-free bonds. Features. SOI and direct wafer ...Missing: improvements 2020
  24. [24]
    Bonding of silicon wafers for silicon‐on‐insulator - AIP Publishing
    The bonding was achieved by heating in an inert atmosphere a pair of wafers with hydrophilic surfaces contacted face‐to‐face.
  25. [25]
    Using RCA Clean in a Wet Bench Process - Modutek
    Aug 3, 2023 · RCA Clean is a three-step cleaning process aimed at effectively cleaning silicon wafers. Each step addresses different types of contaminants.
  26. [26]
    (PDF) Mechanism of Edge Bonding Void Formation in Hydrophilic ...
    The compression associated with bonding wave propagation explains why using dry gases like nitrogen or argon alone is insufficient to prevent voids [46] , as ...
  27. [27]
    [PDF] Wafer Bonding and Layer Splitting for Microsystems**
    The phenomenon that optically polished pieces can adhere or bond to each other has been known for a long time and was first studied by Rayleigh in. 1936[1] for ...
  28. [28]
    Low temperature direct bonding: An attractive technique for ...
    We review here some key features of this technique both from the experimental and practical point of views.
  29. [29]
    Void-free low-temperature silicon direct-bonding technique using ...
    Jan 26, 2007 · A low-temperature silicon direct-bonding technique has been researched using variant plasma (N 2, O 2, Ar, and H ∕ He) pretreatment prior to bonding for ...
  30. [30]
    Low Temperature Si Direct Bonding by Plasma Activation
    Mar 17, 2011 · Oxygen plasma treated Si wafer pairs bonded more strongly at room temperature compared to chemically cleaned, hydrophilic and hydrophobic Si.<|separator|>
  31. [31]
    Mechanisms for low-temperature direct bonding of Si/Si and quartz ...
    Mar 23, 2018 · In this paper, a vacuum ultraviolet/ozone (VUV/O 3 ) activated direct bonding method for Si/Si and quartz/quartz was developed.
  32. [32]
    Characterization of plasma-activated, thermally-annealed Si-SiO2 ...
    Dec 1, 2023 · For Si-SiO2 direct bonding, the bond energy increases with annealing temperature, eventually reaching a saturated value of 2.1 J·m−2 [8]. ...
  33. [33]
    Low-temperature direct bonding of InP and diamond substrates ...
    May 27, 2021 · The InP and diamond substrates formed atomic bonds with a shear strength of 9.3 MPa through an amorphous intermediate layer with a thickness of 3 nm.
  34. [34]
    Temporary Direct Bonding by Low Temperature Deposited SiO2 for ...
    Apr 1, 2024 · This method offers several advantages, including high alignment accuracy, limited risk of die shift, and cost reduction based on removal of the ...Introduction · Experimental Section · Results and Discussion · References
  35. [35]
  36. [36]
    Comprehensive Assessments in Bonding Energy of Plasma ... - NIH
    As its name denotes, direct wafer bonding refers to a technique that creates a wafer pair in which the surfaces of two wafers adhere to each other without any ...
  37. [37]
  38. [38]
    Status of bonding technology for hybrid integration - A review of the surface activated bonding (SAB)
    Insufficient relevant content. The provided URL (https://ieeexplore.ieee.org/document/6358839) only displays a title and abstract loading page, with no accessible full text or detailed content on surface activated bonding (SAB). Key information such as historical development, process details, bonding conditions, materials, bond energies, applications, recent improvements, or references cannot be extracted.
  39. [39]
    A review of the surface activated bonding (SAB) - Semantic Scholar
    Direct wafer bonding is a key technique to realize III-V/Si optical devices such as hybrid laser and optical amplifier on silicon platform.
  40. [40]
    Electrical, Mechanical, and Hermetic Properties of Low-Temperature ...
    May 15, 2015 · Tensile strength averages ranged from 7.3–12.3 MPa while average shear strengths were between 8.9 and 22.0 MPa. A typical fractured ...<|separator|>
  41. [41]
    De-bondable SiCSiC wafer bonding via an intermediate Ni nano-film
    Jan 28, 2019 · The average shear strength of sintered joints reached 40 MPa when sintering temperature increased to 250 °C. The shear strength of joints ...
  42. [42]
    Mechanical Strength Characterization of Direct Bond Interfaces for ...
    To analyze the quality of wafer-to-wafer bonding, the double cantilever beam (DCB) technique using razor-blade insertion is a widely practiced technique. In ...
  43. [43]
    [PDF] WaferBond '15 - Conference on Wafer Bonding for Microsystems, 3D ...
    Four laboratories provided data to the experiment using scanning acoustic microscopy. Infrared light is used to image the interior of wafers, including the bond ...
  44. [44]
    New method for testing hermeticity of silicon sensor structures
    A method for testing the hermeticity of different wafer-bonding processes used in silicon sensor devices is proposed. The method is based on measuring the ...
  45. [45]
    A model of interface defect formation in silicon wafer bonding
    Mar 12, 2009 · A mechanism of thermal voids formation is suggested based on the hydrogen solubility in amorphous silicon oxide. The interface gas quantity for ...Missing: prevention | Show results with:prevention
  46. [46]
    Effects of static load and residual stress on fused silica direct ...
    Nov 22, 2021 · Jacob, Investigations on hydrophilic and hydrophobic silicon ... Lagahe-Blanchard, Mechanism of edge bonding void formation in hydrophilic direct ...
  47. [47]
    Leti Demonstrates World's First 300-mm Wafer-to-Wafer Direct ...
    Leti Demonstrates World's First 300-mm Wafer-to-Wafer Direct Hybrid Bonding With 1-Micron Pitch On EV Group System. New pitch record in copper hybrid bonding ...
  48. [48]
    Wafer bonding of silicon wafers covered with various surface layers
    Aug 6, 2025 · A Review of Hydrophilic Silicon Wafer Bonding. Article. Full-text available. Feb 2014. Nicholas Braithwaite · V. Masteika ...
  49. [49]
    [PDF] A review of silicon-based wafer bonding processes, an approach to ...
    Among these bonding techniques, the DWB is the most promising approach for wafer-scale III–V on Si integration and Si-CMOS integrated devices due to its strong ...
  50. [50]
    EVG®501 Semi-automated Wafer Bonding System - EV Group
    The EVG501 is a highly flexible wafer bonding system and supports all common wafer bonding processes such as anodic, glass frit, solder, eutectic, transient ...Missing: yield 95%
  51. [51]
  52. [52]
    [PDF] Chip to Wafer Hybrid Bonding Development for High Volume ...
    pre-cleaning and removing of specific contamination (particles, organic and ionic contaminants) and/or plasma activation. • Pre-bonding ...Missing: budget 2020s
  53. [53]
    "Smart cut": a promising new SOI material technology
    Insufficient relevant content. The provided URL (https://ieeexplore.ieee.org/document/526518) only contains a title and metadata snippet ("Smart cut": a promising new SOI material technology | IEEE Conference Publication | IEEE Xplore), with no detailed information on the Smart Cut process, hydrophilic bonding for SOI wafers, or its applications in microelectronics. No full text or specific details are accessible from the given content.
  54. [54]
    Heterogeneous Wafer Bonding Technology and Thin-Film Transfer ...
    Aug 11, 2021 · In contrast, direct wafer bonding is an efficient method to join hetero/homogeneous materials into one composite at low temperatures. Based on ...
  55. [55]
    Fabrication and characterization of silicon-on-insulator wafers
    Nov 13, 2023 · Firstly, SOI wafers enable lower parasitic device capacitance because of their isolation from the bulk silicon substrate.
  56. [56]
    (PDF) Wafer bonding for MEMS - ResearchGate
    Apr 28, 2016 · An overview of various wafer bonding technologies used in MST are presented and illustrated with examples.
  57. [57]
    [PDF] Methods for the Wafer-Scale Encapsulation of MEMS - Stacks
    Though many methods of bonding and many cap types have been used, this method typically involves the MEMS device being fabricated on the primary wafer, some ...
  58. [58]
    CMOS wafer bonding for back-side illuminated image sensors ...
    In this technology the fully processed CMOS wafer is bonded to a blank carrier wafer and then back-thinned in order to open the photosensitive sensor area. The ...
  59. [59]
    Direct Bond Technology Enables CMOS Image Sensor Evolution
    Jan 8, 2019 · This pixel scaling required the development and adoption of a mass production backside illumination (BSI) technology to illuminate CMOS pixels ...
  60. [60]
    [PDF] Fabrication of Pressure Sensors Using Silicon Direct Bonding
    In this study, pressure sensors were fabricated by the 6-inch silicon direct bonding method. Silicon direct bonded wafers can yield approximately four times the ...
  61. [61]
    Wafer Bonding Technologies for Microelectromechanical Systems ...
    Aug 28, 2025 · This review explores wafer bonding technologies, covering wafer preparation, activation methods, and bonding mechanisms. It compares direct ...
  62. [62]
    Advances in high-performance MEMS pressure sensors - Nature
    Dec 19, 2023 · In the no-pad bonding technique, the gold wire bonds directly to the silicon surface, which can easily lead to detachment and compromise the ...
  63. [63]
    Application of Micro-Electro-Mechanical Systems (MEMS) as Sensors
    ... market segments. The top 30 MEMS manufacturers have a market share of more than 60% of the total market; the remaining 40% is shared by more than 200 companies.
  64. [64]
    A path to high-density front and backside wafer connectivity - IMEC
    Aug 19, 2025 · Advances in wafer-to-wafer hybrid bonding and backside technologies take CMOS 2.0 from concept to reality, offering more options for compute ...
  65. [65]
    Integration of High-Performance InGaAs/GaN Photodetectors by ...
    Feb 13, 2024 · We present a novel approach involving the direct bonding of InGaAs-based pin membranes with GaN, facilitated by van der Waals forces and microtransfer printing ...
  66. [66]
    Direct wafer bonding of GaN-SiC for high power GaN-on-SiC devices
    This work uses surface activated bonding (SAB) for direct wafer bonding of GaN-on-SiC at room temperature, avoiding a conventional transition layer.
  67. [67]
    Direct-bonded diamond membranes for heterogeneous quantum ...
    Oct 10, 2024 · We directly bond single-crystal diamond membranes to a wide variety of materials including silicon, fused silica, sapphire, thermal oxide, and lithium niobate.
  68. [68]
    Robust Full‐Surface Bonding of Substrate and Electrode for Ultra ...
    Feb 18, 2025 · This study introduces a bonding technique for wearable electronics, achieving strong, flexible connections between materials like gold and parylene at a low ...
  69. [69]
    Intel Foundry Unveils Technology Advancements at IEDM 2024
    Dec 7, 2024 · This allows higher functional density and leads to a more flexible and cost-efficient solution for hybrid or fusion bonding of specific chiplets ...
  70. [70]
    Imec reveals first sub 1nm transistor roadmap - 311 Institute
    Industry leader TSMC plans for its N2 node with GAA to arrive in 2025, so it will be the last to adopt the new type of transistor. Intel's quad-sheet ...
  71. [71]
    Hybrid Bonding Makes Strides Toward Manufacturability
    Oct 28, 2024 · Advantages include interconnect scaling to submicron pitches, high bandwidth, enhanced power efficiency, and better scaling relative to solder ...Missing: Cu- demo
  72. [72]
    2025 TSMC North America Technology Symposium – Review
    Apr 23, 2025 · This confirms that at sub-2nm nodes, TSMC is pushing boundaries not only on the logic design side, but also across the entire equipment and ...
  73. [73]
    Intel's process roadmap to 2025: Intel 7, 4, 3, 20A, and 18A explained
    Intel's own roadmap states that it aims to achieve "process leadership" in 2025. Process leadership, by Intel's standards, is the highest performance per watt.