Fact-checked by Grok 2 weeks ago

Metal gate

A metal gate is a gate electrode structure in metal-oxide-semiconductor field-effect transistors (MOSFETs) composed of metal materials, replacing traditional doped polysilicon gates to enable precise threshold voltage tuning and improved device performance in advanced complementary metal-oxide-semiconductor (CMOS) technology. This innovation addresses key limitations of polysilicon gates, such as the poly-depletion effect that increases effective oxide thickness and reduces gate capacitance, by providing lower resistivity and compatibility with high-k dielectric materials like hafnium oxide (HfO₂). The adoption of metal gates marked a pivotal shift in semiconductor fabrication, particularly with the of high-k/metal gate (HKMG) stacks around the 45 nm technology node, allowing continued scaling of transistor dimensions while mitigating gate leakage and enhancing drive currents. Key advantages include a reduction in by 3–4 Å due to the absence of depletion regions in metals, superior thermal stability, and the to select metals with specific work functions—approximately 4.05 eV for n-type MOSFETs (NMOS) and 5.15 eV for p-type MOSFETs (PMOS)—to optimize channel inversion across the bandgap of 1.1 eV. Fabrication approaches vary, with gate-first processes (e.g., used by ) integrating metals early in the workflow and gate-last or replacement metal gate (RMG) methods (e.g., employed by and ) inserting metals after high-temperature steps to preserve material integrity. This technology has been essential for applications, enabling faster switching speeds, lower power consumption, and higher densities in modern integrated circuits, with ongoing refinements supporting nodes below 10 nm. Common metals include (TiN) for its tunable and (Ru) for low resistivity, though challenges like pinning at metal-dielectric interfaces require careful interface .

Historical Development

Early Aluminum Gates

The first metal-oxide-semiconductor field-effect transistor (MOSFET) was demonstrated in 1960 by and at Bell Laboratories, utilizing an aluminum in a p-channel configuration on a substrate. This pioneering device featured a thermally grown (SiO₂) layer as the , with aluminum serving as the due to its high electrical , characterized by a resistivity of approximately 2.65 × 10⁻⁸ Ω·m, which facilitated efficient charge control. Aluminum's ease of deposition via thermal evaporation or sputtering onto the oxide surface further enabled straightforward integration into early MOS structures, allowing for the creation of discrete transistors and initial integrated circuits. By the mid-1960s, aluminum-gated MOSFETs saw widespread adoption in discrete MOS integrated circuits, particularly in p-channel implementations by companies like and , marking a shift toward scalable . The fabrication process for these early aluminum-gated devices began with thermal oxidation of the silicon wafer to form a thin SiO₂ gate dielectric, typically tens to hundreds of nanometers thick, which passivated the silicon surface and minimized interface states. Source and drain regions were typically predeffused, followed by aluminum deposition across the wafer using evaporation or techniques, then patterning via and wet etching to define the gate electrode and interconnects. This metal gate approach simplified production compared to earlier junction-based transistors, enabling the basic metal-oxide-semiconductor () capacitor structure central to operation. The oxide capacitance, which governs gate control over the channel, is given by C = \frac{\epsilon_0 \epsilon_r A}{d} where \epsilon_0 is the vacuum permittivity, \epsilon_r is the relative permittivity of SiO₂ (approximately 3.9), A is the gate area, and d is the oxide thickness; the aluminum gate's direct metallic contact eliminated depletion layers at the top interface, yielding a pure insulator capacitance without poly-depletion complications. Despite these advantages, aluminum gates exhibited significant limitations, particularly thermal instability during processing temperatures exceeding 400°C, where aluminum atoms diffused through thin SiO₂ layers into the underlying silicon, leading to threshold voltage shifts and exacerbated short-channel effects. Early experiments at Fairchild in the 1960s highlighted this issue, showing device instability after annealing steps intended to activate dopants or form contacts, as aluminum penetration contaminated the channel region and altered doping profiles. Such diffusion-related instabilities, compounded by mobile ionic contaminants like sodium, undermined reliability in integrated circuits, ultimately prompting a transition to polysilicon gates for better thermal compatibility.

Introduction of Polysilicon Gates

The transition from aluminum to polysilicon gates in MOSFET fabrication during the late 1960s addressed key limitations in high-temperature processing, as aluminum's low and tendencies hindered steps exceeding 600°C. Polysilicon offered superior thermal stability, enabling up to 1000°C without or significant degradation, which was essential for reliable and formation in integrated circuits. Additionally, polysilicon could be deposited conformally using low-pressure (LPCVD) at 600-650°C with precursors, allowing precise control over film thickness and uniformity on complex topologies. Initially introduced as undoped polysilicon for high-resistivity resistor loads in PMOS devices, the material provided stable passive components without requiring additional masking steps. By 1970, Fairchild Semiconductor advanced the technology with n+-doped polysilicon gates for enhancement-mode NMOS transistors, incorporating phosphorus or arsenic dopants to enhance conductivity while leveraging the gate as a diffusion mask. A pivotal innovation was the 1969 Bell Labs patent by Kerwin, Klein, and Sarace, which described a self-aligned gate process using polysilicon to define source and drain regions, thereby minimizing parasitic overlap capacitance and improving device packing density. Heavily doping polysilicon with or dramatically reduced its resistivity from approximately 10^3 Ω·cm in the undoped state to ~10^{-3} Ω·cm, making it suitable for low-resistance electrodes without compromising process compatibility. This advancement enabled of NMOS integrated circuits to feature sizes around 10 µm in the 1970s, as exemplified by Intel's 1103 introduced in 1970, which utilized silicon- to achieve 1 Kb density and outperform in commercial applications.

Polysilicon Gate Era

NMOS Implementation

In NMOS implementations, polysilicon gates were heavily doped to n-type (N+) using at doses around 10^{15} cm^{-2}, which established a of approximately 4.1 eV for the gate material. This doping profile aligned the gate closely with the conduction band, facilitating a typical NMOS (V_{th}) of about 0.7 V and enabling efficient enhancement-mode operation without excessive gate overdrive. Polysilicon gates found widespread use in depletion-load NMOS configurations for static logic circuits, where the depletion-mode load transistor provided a resistive pull-up without requiring a separate power supply rail. A prominent example is the Intel 8080 microprocessor, released in 1974, which employed n-channel silicon-gate (polysilicon) technology in its depletion-load NMOS design to achieve improved speed and TTL compatibility over prior PMOS devices. In memory applications, polysilicon gates served as word lines in dynamic RAM (DRAM) cells, leveraging their compatibility with the one-transistor-per-bit architecture introduced in the 1970s; for instance, the 4 Kbit DRAM chips of that era used silicon-gate NMOS processes to form the access transistor gates along the word lines. The electrical performance of these polysilicon gates in NMOS circuits was characterized by a sheet resistance of 20-50 Ω/sq for typical thicknesses around 500 nm, which supported circuit delays suitable for clock speeds reaching up to 10 MHz by the 1980s. This resistance level minimized RC delays in gate interconnects while maintaining process simplicity. A key optimization in the 1980s involved adding self-aligned silicides, such as TiSi_{2}, atop the polysilicon gates to further reduce sheet resistance to below 5 Ω/sq without risking shorts to the underlying silicon channel, as the silicide formation was confined by the gate sidewall spacers. By 1980, polysilicon gate dominated MOS integrated circuits, primarily through and memory, and enabled reliable scaling to sub-micron feature sizes down to 1 µm nodes by improving alignment precision and thermal stability over aluminum gates.

CMOS Integration

The integration of polysilicon gates into complementary metal-oxide-semiconductor () processes emerged in the late as a natural extension of NMOS , the complementary pairing of n-channel and p-channel s to achieve dramatically lower static power dissipation compared to single-channel NMOS designs. Early CMOS implementations retained the self-aligned polysilicon gate structure for precise control of channel lengths, but adapting it to both transistor types introduced challenges due to work function differences. Specifically, n+-doped polysilicon gates, with a of approximately 4.05 eV, provided low threshold voltages (V_th) for NMOS transistors but resulted in a significant mismatch for PMOS devices, where the ideal p-channel work function is around 5.2 eV; this led to elevated PMOS V_th values of about 1-1.5 V, degrading drive current balance and overall circuit performance. To address this asymmetry, 1980s CMOS processes commonly employed n+-doped polysilicon gates for both NMOS and PMOS transistors, compensating for the PMOS V_th shift through heavier channel implants to adjust the doping profile. This approach maintained a unified gate material while enabling balanced complementary operation, though it increased process complexity with additional masking steps for selective implants. By the 1990s, dual-doped polysilicon gates became prevalent, using or for n+ regions and high-dose implants for p+ regions to achieve work functions closer to 5.2 eV in PMOS; however, penetration through thin gate oxides during high-temperature annealing posed risks of instability and oxide degradation. The typical process flow for polysilicon gate involved shared deposition of undoped followed by patterning to define gates for both NMOS and PMOS regions, with subsequent selective for source/drain doping—n-type for NMOS and p-type for PMOS—while self-alignment minimized overlap capacitances. An illustrative example is the 1.2 µm technology developed in the mid-1980s for low-power logic applications, where polysilicon gates enabled efficient complementary switching in radiation-hardened environments. This integration facilitated power reductions of 50-100 times relative to NMOS equivalents, with standby currents below 1 µA per chip, making viable for portable . Historically, the first commercial devices with polysilicon gates appeared in the early 1970s for battery-powered applications, such as RCA's silicon-gate frequency dividers in electronic wristwatches, which leveraged low for extended operation. By the , polysilicon gate CMOS had scaled to 0.5 µm nodes in high-volume microprocessors, supporting clock speeds up to 200 MHz while preserving the complementary architecture's efficiency.

Scaling Challenges

Poly Depletion Effect

The polysilicon depletion effect arises in MOSFETs employing doped polysilicon as the gate material, particularly under inversion bias conditions. For an n-channel device, a positive gate-to-source voltage depletes mobile carriers from the polysilicon near the gate oxide interface, forming a depletion region that introduces an additional voltage drop and reduces the electric field penetration into the channel. This phenomenon degrades gate control over the channel, effectively mimicking a thicker gate dielectric and limiting scalability in sub-micron technologies. The physical mechanism can be quantified through the depletion width W_{\mathrm{dep}} in the polysilicon gate, approximated as W_{\mathrm{dep}} \approx \sqrt{\frac{2 \epsilon_{\mathrm{si}} \phi_b}{q N_{\mathrm{poly}}}}, where \phi_b \approx 0.5 \, \mathrm{V} is the band bending potential, N_{\mathrm{poly}} \approx 10^{20} \, \mathrm{cm}^{-3} is the gate doping concentration, q is the , and \epsilon_{\mathrm{si}} is the of . The resulting effective oxide thickness becomes t_{\mathrm{ox,eff}} = t_{\mathrm{ox}} + \frac{\epsilon_{\mathrm{ox}}}{\epsilon_{\mathrm{si}}} W_{\mathrm{dep}}, leading to a 10-20% reduction in at V_g = V_{\mathrm{dd}}. This effect significantly impacts device performance by effectively reducing the gate overdrive by approximately 0.2 V and reducing the on-state drive current I_{\mathrm{on}} by 15-25% in 90 nm nodes, thereby compromising speed and power efficiency. The issue became prominent for gate lengths below 100 nm, as highlighted in 1990s simulations by and researchers exploring scaling limits. Capacitance-voltage (C-V) profiling measurements confirm the capacitance drop in strong inversion, equivalent to a 3-5 (0.3-0.5 nm) increase in oxide thickness.

Resistance and Gate Leakage Issues

As devices scaled below 65 nm, as gate lengths scaled below 50 the sheet resistance of polysilicon gates increased to several hundred Ω/sq, primarily due to scattering, which substantially elevated the RC delay in gate electrodes and limited overall performance. This resistance issue was temporarily alleviated through polycide structures, such as tungsten (WSi₂) deposited on polysilicon, achieving resistivities around 100 μΩ·cm and reducing to manageable levels for nodes down to approximately 90 , though further scaling exposed limitations in silicide uniformity and . A parallel challenge arose from gate leakage due to direct quantum tunneling through ultrathin SiO₂ layers below 2 nm, where electrons and holes traverse the barrier via the , yielding a J \propto \exp(-B t_{\mathrm{ox}}), with the constant B \approx 25 Å⁻¹ for typical barrier heights in SiO₂. At an oxide thickness of 1.2 nm, this mechanism produced gate leakage currents exceeding 1 μA/μm width under operational biases around 1 V, significantly contributing to standby power dissipation and exacerbating thermal management in dense circuits. In the 45 nm technology node, these effects manifested as a notable rise in gate delay by approximately 20-30% attributable to combined and depletion contributions, while gate leakage accounted for over 50% of total static power in high-performance logic devices, as projected in the 2000s International Technology Roadmap for Semiconductors (ITRS). The 2003 ITRS edition crystallized industry consensus that conventional polysilicon-SiO₂ stacks would prove unsustainable beyond the 32 nm node due to these escalating electrical losses, prompting a shift toward alternative materials. Compounding these issues in PMOS transistors, boron penetration from heavily doped p⁺ polysilicon gates diffused through the thin SiO₂, elevating stress-induced leakage currents and reducing charge-to-breakdown by enhancing trap creation efficiency during electrical . Poly depletion further aggravated effective reduction, indirectly amplifying the perceived impact of on delay in scaled devices.

Revival of Metal Gates

High-k Dielectric Integration

As the scaling of (SiO₂) gate dielectrics approached thicknesses below 1.5 nm (EOT), direct tunneling currents became unacceptably high, leading to excessive gate leakage and power dissipation in transistors. This limitation, triggered by the poly-Si/SiO₂ stack's inability to maintain low leakage at sub-1.5 nm EOT, necessitated the adoption of high-k dielectrics to enable further while preserving . High-k materials like hafnium oxide (HfO₂, with a dielectric constant k ≈ 25) allow for physically thicker films (>2 nm) that achieve an EOT of approximately 1 nm through the relation EOT = (k_SiO₂ / k_high-k) × t_phys, where k_SiO₂ = 3.9 and t_phys is the physical thickness, thereby reducing tunneling without sacrificing performance. The integration of high-k dielectrics with metal gates presented significant challenges, particularly the reactivity of traditional polysilicon gates with HfO₂ during deposition and high-temperature processing, which forms undesirable silicides and degrades interface quality. To address this, two primary process flows emerged: gate-first, where the high-k dielectric is deposited followed by the metal gate and subsequent annealing to stabilize the stack; and gate-last (also known as ), which involves using a dummy polysilicon gate during source/drain formation, followed by its removal and metal fill after high-temperature steps to minimize thermal damage to the dielectric. Intel's pioneering in 2007 utilized a gate-last approach for their 45 nm Penryn processors, featuring high-k first and metal gate last, with the dummy gate removed after high-temperature steps and replaced with metal, marking the first high-volume of high-k/metal gate transistors. This integration achieved an EOT of 1.0 nm using hafnium-based dielectrics, resulting in gate leakage reductions of over 25× for NMOS and up to 1000× for PMOS compared to the prior 65 nm SiO₂-based process, significantly enhancing power efficiency. Initial materials focused on HfSiON (hafnium silicon oxynitride) for improved thermal stability and compatibility, but subsequent evolution shifted toward pure HfO₂ with lanthanum (La) or aluminum (Al) capping layers to fine-tune threshold voltage (V_th) by modulating the dipole at the high-k/SiO₂ interface, enabling better control over transistor characteristics without altering the gate electrode. These advancements allowed continued EOT scaling while mitigating leakage, forming the foundation for metal gate adoption in advanced nodes.

Metal Materials and Work Function Tuning

The of the metal gate , denoted as \Phi_m, plays a pivotal role in determining the V_{th} of MOSFETs in technology, enabling effective control of carrier inversion at low supply voltages during . For NMOS transistors, an optimal \Phi_m of approximately 4.1 eV (near the conduction band edge) minimizes V_{th} for enhanced drive current, while PMOS requires \Phi_m \approx 5.2 eV (near the valence band edge) to achieve symmetric performance. In contrast, traditional n+-doped polysilicon gates exhibit a fixed \Phi_m \approx 4.1 eV, which is suitable for NMOS but leads to unacceptably high V_{th} for PMOS, limiting overall efficiency and scalability beyond the 90 nm node. Selection of metal materials focuses on achieving these band-edge work functions while ensuring compatibility with high-k dielectrics and thermal budgets. Mid-gap metals such as (TiN), with \Phi_m \approx 4.8 , provide balanced thresholds for initial implementations but require for optimal CMOS pairing. For NMOS, nitrogen-rich tantalum nitride (TaN) offers \Phi_m \approx 4.3 , closely matching the desired value, whereas PMOS employs p-type metals like (Re) or (Mo) to reach \Phi_m \approx 5.1 ; alternatively, TiN/Al stacks enable fine adjustment toward this range through interfacial effects. These materials are chosen for their thermal stability, low resistivity, and ability to form conformal layers via (ALD), critical for sub-45 nm nodes. Work function tuning is accomplished through several established methods to precisely control \Phi_m without compromising stack integrity. Doping via processes like N_2 annealing can shift TiN's \Phi_m by up to 0.3 toward lower values by altering stoichiometry and surface dipoles. Capping layers, such as La_2O_3, introduce interface dipoles that reduce \Phi_m by approximately 0.2 , ideal for NMOS band-edge alignment. In gate-first integration, dual-metal stacks combine these elements—for instance, layering TiN with Al and TaN—to achieve differential s. A seminal example is Intel's 2007 implementation at the 45 nm node, where a TiAlN (for NMOS) and TiN (for PMOS) configuration realized the necessary work function split, delivering equivalent or superior performance to polysilicon gates with enhanced drive currents and reduced leakage. The direct influence of \Phi_m on device characteristics is evident in the MOSFET threshold voltage equation: V_{th} = \Phi_m - \Phi_{si} + 2\phi_f + \frac{\sqrt{4\epsilon_{si} q N_a \phi_f}}{C_{ox}} Here, \Phi_{si} is the silicon work function, \phi_f the Fermi potential, N_a the substrate doping, \epsilon_{si} the silicon permittivity, q the elementary charge, and C_{ox} the oxide capacitance per unit area. This formulation highlights the linear dependence of V_{th} on \Phi_m, underscoring why precise tuning is essential for maintaining low V_{th} variability and enabling continued CMOS scaling post-2007.

Modern Applications

Advanced Node Technologies

In advanced semiconductor nodes, have been integral to three-dimensional transistor architectures, starting with the introduction of FinFETs at the . Intel's , launched in 2011, employed tri-gate FinFETs where wrap around three sides of the silicon fin, providing superior electrostatic control over the channel compared to planar . This structure utilized a process, also known as gate-last integration, to deposit high-k dielectrics such as HfO₂ followed by metal electrodes like TiN, enabling uniform gate formation across multiple fins for enhanced drive strength. The evolution toward gate-all-around (GAA) transistors further advanced metal gate integration, particularly in sub-7 nm nodes. Samsung's , introduced in 2022, incorporates nanosheet GAA channels where metal gates fully surround the stacked nanosheets, filling the spaces between them to achieve complete channel encirclement and improved short-channel effects management. This configuration significantly reduces leakage currents, with the overall process delivering approximately 45% lower power consumption compared to prior FinFET-based nodes like 5 nm. Adapting metal gate deposition for these complex 3D structures requires precise techniques to handle high-aspect-ratio features below 10 nm. (ALD) of materials such as and TiN ensures conformal filling and uniformity in narrow, tall gate trenches, maintaining compatibility while minimizing defects in high-k interfaces. For instance, plasma-enhanced ALD variants enable step-coverage exceeding 90% in aspect ratios over 20:1, critical for GAA nanosheet stacking. Key demonstrations of these integrations include TSMC's 5 nm FinFET node from 2019, where optimized metal gate stacks with high-k dielectrics achieved up to 15% higher performance at iso-power relative to the 7 nm generation, leveraging refined processes for better control. As of November 2025, ongoing developments for 2 nm nodes incorporate (EUV) lithography for precise gate patterning, alongside emerging metals like (Ru) and molybdenum (Mo) to reduce in gate electrodes and interconnects, supporting scaling beyond traditional tungsten fills. TSMC's 2 nm (N2) process, featuring nanosheet GAA transistors, entered high-volume manufacturing in the second half of 2025, with early adoption by customers including Apple and for and applications.

Performance Advantages and Fabrication Challenges

Metal gates offer significant performance enhancements over traditional polysilicon gates in MOSFETs, primarily by eliminating the polysilicon depletion effect, which reduces the effective and limits drive current. This results in a 20-30% increase in on-state current (I_on) for equivalent off-state leakage, as demonstrated in simulations of high-k/metal gate stacks at sub-35 nm nodes. Additionally, metal gates enable (EOT) scaling down to 0.7 nm when paired with high-k dielectrics, providing approximately 15% higher compared to polysilicon counterparts, which improves electrostatic control and subthreshold swing. These benefits allow for lower supply voltage (V_dd) operation around 0.6 V, achieving up to 2x improvement in for logic circuits by reducing both dynamic and static power dissipation. In advanced nodes such as 7 nm FinFETs, the integration of metal gates has contributed to power-efficient scaling for high-performance computing, as highlighted in projections from the International Roadmap for Devices and Systems (IRDS) 2020 edition, which emphasizes the role of replacement metal gate (RMG) processes. Despite these advantages, fabricating metal gates presents notable challenges, particularly thermal budget mismatches during integration. Metals typically exhibit stability limits below 500°C, while source/drain activation requires annealing at around 1000°C; this conflict is addressed through RMG processes, where the metal is deposited after high-temperature steps to preserve material integrity. Another key issue is Fermi level pinning at the metal-high-k dielectric interface, which arises from metal-induced gap states and complicates precise work function tuning for CMOS compatibility. As devices scale toward 1 nm effective lengths, interface traps at the become a critical hurdle, leading to degraded carrier and increased variability in nanoscale FinFETs and beyond. Recent 2025 research explores mitigating these issues by incorporating with materials like MoS_2, where in-plane or electrostatic gating configurations demonstrate improved doping control and reduced trap-induced , potentially enabling sub-1 nm with mobilities comparable to bulk . Widespread adoption of metal/high-k gate stacks has occurred in advanced nodes since their introduction in high-volume manufacturing around the 45 nm node, including in Apple's M-series processors. However, fabrication yields in these leading-edge processes face challenges due to metal fill defects, such as voids or incomplete deposition in high-aspect-ratio trenches, necessitating advanced and process optimization.

References

  1. [1]
    Metal Gate - an overview | ScienceDirect Topics
    A metal gate (MG) is a gate structure used to tune threshold voltage in multiple-gate devices, improving circuit performance.
  2. [2]
    [PDF] Application of High-κ Gate Dielectrics and Metal Gate Electrodes to ...
    High-κ gate dielectrics and metal gates enable continued gate oxide scaling, high performance, and control of gate oxide leakage for silicon and non-silicon ...
  3. [3]
    High-K/Metal Gate Technology: A New Horizon - IEEE Xplore
    High-K/metal gate technology is a fundamental change in transistor structure that restarts gate length scaling, enables performance improvement and offers chip ...
  4. [4]
    1960: Metal Oxide Semiconductor (MOS) Transistor Demonstrated
    In 1959 M. M. (John) Atalla and Dawon Kahng at Bell Labs achieved the first successful insulated-gate field-effect transistor (FET), which had been long ...Missing: aluminum | Show results with:aluminum
  5. [5]
    [PDF] MOSFET DEVICE SCALING: A (BIASED) HISTORY OF GATE STACKS
    Hoerni in a planar process in 1960 led to its use for improved junction characteristics [5]. The experience gained by fabrication of junctions via SiO2 masking.
  6. [6]
    [PDF] Electrical Resistivity of Aluminum and Manganese
    Oct 15, 2009 · The estimated' uncertainties in most of the recommended values are about ± 2% to. ±5%. Key words: aluminum; conductivity; critical evaluation; ...
  7. [7]
    7.7 MOSFET circuits and technology
    Around 1970, pMOS circuits with aluminum gate metal and wiring were dominant. The corresponding steps of a typical pMOSFET fabrication process steps are listed ...Missing: 1960s | Show results with:1960s
  8. [8]
  9. [9]
    [PDF] MOS Capacitor
    This chapter builds a deep understanding of the modern MOS. (metal–oxide–semiconductor) structures. The key topics are the concepts of surface depletion, ...Missing: aluminum | Show results with:aluminum
  10. [10]
    [PDF] A scientist's Perspective on the early Days of MOs technology
    A number of these and related investigations were conducted and reported by Fairchild researchers over several years in the 1960s and 1970s (see Table I, Ref. ...Missing: limitations | Show results with:limitations
  11. [11]
    A Brief History of the MOS transistor, Part 2: Fairchild - EEJournal
    Apr 5, 2023 · ... MOSFET development in the early 1960s. Early MOSFETs were 100 times slower than bipolar transistors, and they were considered unstable, for ...Missing: annealing | Show results with:annealing
  12. [12]
    (PDF) Evolution of MOSFETs toward nanoelectronics - ResearchGate
    The first MOSFETs in the sixties were fabricated using metal gates. In 1968 [1], Bell Labs proposed to use polysilicon gate in order to. obtain a self ...
  13. [13]
    1968: Silicon Gate Technology Developed for ICs | The Silicon Engine
    Federico Faggin and Tom Klein improve the reliability, packing density, and speed of MOS ICs with a silicon-gate structure.
  14. [14]
    [PDF] mos inverters: static characteristics - WordPress.com
    An alternative approach to save silicon area is to fabricate the load resistor using undoped polysilicon. In conventional poly-gate MOS technology, the ...
  15. [15]
    US3475234A - Method for making mis structures - Google Patents
    METHOD FOR MAKING MIS STRUCTURES Filed March 27,- 1967 2 Sheets5heet 1 FIG. 5. KERW/N lNVENTORS: D.L. KLEIN By J. C. SARACE ... self-aligned gates and contacts ...
  16. [16]
    The electrical properties of polycrystalline silicon films
    The resistivity reached 106 n cm for lightly doped samples. Increasing the doping from about lx 1018/cm3 results in an abrupt resistivity drop of about five ...
  17. [17]
    Intel 1103: The DRAM Chip That Dethroned Magnetic Core Memory
    Sep 12, 2025 · In 1970, Intel's 1103 became the first commercially successful DRAM chip, and the first time a semiconductor memory beat magnetic core at ...Missing: polysilicon | Show results with:polysilicon
  18. [18]
    [PDF] Substrate Condition and Metrology Considerations in Poly Gate ...
    In order to maintain proper gate operation, gate doping requires around E15 doses. This places a large amount of implanted phosphorus at or near the surface of ...
  19. [19]
    Variable work function in MOS capacitors utilizing nitrogen ...
    The primary focus is on finding the right work functions for replacing poly-Si in nMOS (∼4.1 eV) and pMOS (∼5.1 eV), although other important technology issues ...
  20. [20]
    Unit 1-Introduction To VLSI | PDF | Mosfet | Field Effect Transistor
    source voltage. 22. Determine whether an nMOS transistor with a threshold voltage of 0.7V is operating in the saturation region if Vgs= 2V and Vds=3V.(Nov ...Missing: 1970s- | Show results with:1970s-
  21. [21]
    [PDF] 3. Implementing Logic in CMOS Static CMOS Circuits
    Based on the old NMOS technology where a “depletion” transistor was used as a pullup resistor. What happens when there is no path from Z to ground (i.e., ...
  22. [22]
    [PDF] Semiconductor Memory Timeline Notes
    Oct 25, 2006 · The 4Kbit DRAM introduced the 1 transistor cell and the silicon gate NMOS process. The 3T to 1T memory cell transition is the first major ...<|separator|>
  23. [23]
    [PDF] The production and doping of LPCVD Polysilicon for NMOS ...
    For integrated circuits, an electrical specification of. 20 - 60 n/sqfor PSi sheet resistance is used; this value must be low to keep the time constant of PSi ...
  24. [24]
    Die shrink: How Intel scaled down the 8086 processor
    ... speed MOS or High-density, short channel MOS. ... Jose Miguel Castillo: yes, Intel doubled the clock frequency from 5 MHz to 10 MHz with the improved process.
  25. [25]
    Formation of self-aligned TiSi2 for very large scale integrated ...
    The characteristics ofTiSi2 grown on crystalline Si and poly-Si substrates, and several impor- tant aspects related to the formation of self-aligned silicide.Missing: NMOS | Show results with:NMOS
  26. [26]
    Chapter 1: Introduction To CMOS Circuits: 1.1 MOS (Metal Oxide ...
    1980: The market share of MOSFET exceeds bipolar device. Chapter 1 ... Four terminals of a transistor: Gate: usually formed by polycrystalline silicon ( ...
  27. [27]
    U.S. Patent for Polycide local interconnect method and structure ...
    Channel stop and well dopant migration control implant for reduced MOS threshold voltage mismatch ... The work function of N+ polysilicon is about 4.05 ... eV) for ...
  28. [28]
  29. [29]
    [PDF] The Scaling Of Submicron Cmos Devices. - Lehigh Preserve
    semiconductor work function difference of the n+ doped poly gate requires a high acceptor doping concentration in the channel of NMOS transistor, thereby ...<|separator|>
  30. [30]
    Doping of n+ and p+ polysilicon in a dual-gate CMOS process for ...
    Dec 1, 1988 · The feasibility of fabricating dual-gate CMOS devices using the same implant to dope the polysilicon gates and to form shallow n+ and p+ ...
  31. [31]
    Boron penetration effect on gate oxide reliability of 50 Å PMOS devices
    This article presents the analysis of degradation and gate oxide reliability in 50 Å oxide p+-poly PMOS transistors. The post-boron implant anneal temperature ...
  32. [32]
    The 1.2 micron CMOS technology
    The 1.2 micron CMOS technology A set of test structures was designed using the Jet Propulsion Laboratory (JPL) test chip assembler and was used to evaluate ...Missing: IBM 1982 polysilicon
  33. [33]
    An overview of power dissipation and control techniques in cmos ...
    Aug 9, 2025 · This article reviews the relevant researches of the source or power dissipation, the mechanism to reduce the dynamic power dissipation as well ...
  34. [34]
    Silicon trends and limits for advanced microprocessors
    Starting with the 0.5mm generation, supply voltage was reduced along with gate oxide thickness to prevent the electric field from getting too strong. The next ...
  35. [35]
    [PDF] Gate Length Dependent Polysilicon Depletion Effects - Stanford TCAD
    It should be noted that the portion of the inversion capacitance decreases as the gate length is scaled from 0.4 m down to 0.12 m. In other words, the potential.
  36. [36]
    [PDF] Intel® Technology Journal
    Jun 17, 2008 · Dual band edge workfunction metal gates were introduced, eliminating polysilicon gate depletion and providing compatibility with the high k gate.<|separator|>
  37. [37]
    [PDF] Metal Silicides in CMOS Technology: Past, Present, and Future Trends
    Jun 24, 2010 · This article discusses metal silicides in CMOS technology, covering their past, present, and future trends.
  38. [38]
    Theory of direct tunneling current in metal–oxide–semiconductor ...
    Feb 1, 2002 · The tunnel lifetime of the ground state of a 15 Å thin oxide is plotted versus gate voltage: 1 using Weinberg formula for the impact frequency ...
  39. [39]
    [PDF] Gate Dielectric Scaling for High-Performance CMOS: from SiO2 to ...
    We have implemented 1.2nm physical SiO2 in our 90nm logic technology node [1], and have scaled physical SiO2 further down to 0.8nm and integrated it in research ...Missing: ITRS | Show results with:ITRS<|separator|>
  40. [40]
    [PDF] OVERALL ROADMAP TECHNOLOGY CHARACTERISTICS
    Both the printed and physical gate length trends remain unchanged for the 2003 ITRS, and are forecast to continue scaling by about 70% per two-year cycle ...
  41. [41]
    Effect of boron penetration on the stress induced leakage current in ...
    Boron penetration increases stress-induced leakage current (SILC) and decreases charge to breakdown in PMOS structures, increasing trap creation efficiency.
  42. [42]
    [PDF] gate Dielectric Process technology for the sub-1 nm equivalent ...
    Scaling below ~1 nm for higher-performance devices and lower than ~1.5 nm for lower-power devices also became limited by poly-silicon depletion as well as gate ...
  43. [43]
    High-K Dielectric - an overview | ScienceDirect Topics
    While MOSFETs have been realized at 1.5 nm oxide thickness, a major obstacle to overcome is the high level of direct tunneling current through the gate. One ...
  44. [44]
    [PDF] Electrical Properties of Compositional Al2O3 Supplemented HfO2 ...
    Sep 16, 2022 · Hafnium oxide (HfO2) is technologically im- portant material due to its high dielectric constant (k = 20 - 25), high bulk mod- ulus, great ...
  45. [45]
    [PDF] High-K materials and Metal Gates for CMOS applications
    The SiO2 layer used as the gate dielectric is now so thin (~1.2 nm) that the gate leakage current due to direct tunnelling of electrons through the SiO2 ...
  46. [46]
    Under the Hood: Intel's 45-nm high-k metal-gate process - EE Times
    Nov 14, 2007 · It may seem odd that the introduction of high-k gate dielectrics has not reduced the equivalent oxide thickness (EOT) of Intel's 65-nm SiON.
  47. [47]
    [PDF] A 45nm Logic Technology with High-k+Metal Gate Transistors ...
    In this work high-k + metal gate integration challenges are overcome (Fig. 2), enabling a return to 0.7X TOX(e) scaling while simultaneously reducing gate ...Missing: Penryn | Show results with:Penryn<|control11|><|separator|>
  48. [48]
    Origin of flat band voltage shift in HfO2 gate dielectric with La2O3 ...
    It has also been reported that the choice of a high-k has a large impact on Vth shift, for example, an ultra-thin La2O3 capping layer on a HfO2 layer can ...Missing: Vth adjust
  49. [49]
    (PDF) A 45nm Logic Technology with High-k+Metal Gate Transistors ...
    A 45 nm logic technology is described that for the first time incorporates high-k + metal gate transistors in a high volume manufacturing process.
  50. [50]
    Work Function Setting in High-k Metal Gate Devices | IntechOpen
    As transistor size continues to shrink, SiO2/polysilicon gate stack has been replaced by high-k/metal gate to enable further scaling.
  51. [51]
    Metal gates for advanced sub-80-nm SOI CMOS technology
    The optimal gate workfunction for the 50 nm technology node is 0.2 eV below (above) the conduction (valence) band edge of silicon for NMOS (PMOS).
  52. [52]
    Statistical modeling of metal-gate Work-Function Variability in ...
    For instance, four types of metal nitride gate materials (TiN and TaN for NMOS and WN and MoN for PMOS devices) are studied and it is shown that TiN and WN ...
  53. [53]
    (PDF) Molybdenum as a Gate Electrode for Deep Sub-Micron CMOS ...
    Aug 6, 2025 · Preliminary results indicate that the work function of Mo can be varied over the range of 4.0-5.0V by a combination of suitable post-deposition ...
  54. [54]
    [PDF] On the Thermal Stability of Atomic Layer Deposited TiN as Gate ...
    The work function of ALD TiN depends strongly on the an- nealing condition. Annealing in pure up to 1000 C lowers the work function by about 0.3–0.5 eV.
  55. [55]
    Work function engineering using lanthanum oxide interfacial layers
    Aug 6, 2025 · A La 2 O 3 capping scheme has been developed to obtain n -type band-edge metal gates on Hf-based gate dielectrics.
  56. [56]
    Impact of gate workfunction on device performance at the 50 nm ...
    Sub-100 nm CMOS generations may need metal gates to counter poly-depletion, boron penetration, high poly-sheet resistance and potentially for compatibility ...<|control11|><|separator|>
  57. [57]
    [PDF] Intel's Revolutionary 22 nm Transistor Technology
    Intel is introducing revolutionary Tri-Gate transistors on its. 22 nm logic technology. • Tri-Gate transistors provide an unprecedented combination.Missing: RMG HfO2
  58. [58]
    Samsung Begins Chip Production Using 3nm Process Technology ...
    Jun 30, 2022 · Optimized 3nm process achieves 45% reduced power usage, 23% improved performance and 16% smaller surface area compared to 5nm process.
  59. [59]
    Atomic Layer Deposition (ALD) of Metal Gates for CMOS - MDPI
    To reach threshold voltage (Vt) target, extreme care needs to be taken, because Vt is so sensitive to interfacial states that any slight difference in chemical ...<|separator|>
  60. [60]
    Area-Selective Atomic Layer Deposition of TiN Using Aromatic ...
    Aug 13, 2020 · A plasma-assisted ALD process for area-selective deposition of TiN was developed, which involves dosing of inhibitor molecules at the start of every ALD cycle.
  61. [61]
    IEDM 2019 - TSMC 5nm Process - SemiWiki
    Dec 16, 2019 · Moving from 7nm to 5nm Samsung has disclosed a 1.33x density improvement and TSMC has disclosed a ~1.84x density improvement. Clearly TSMC will ...
  62. [62]
    Novel Atomic Layer Processes for Semiconductor Manufacturing
    Sep 15, 2025 · In this review, we examine emerging atomic layer processes: area-selective deposition (ASD), atomic layer annealing (ALA), and atomic layer ...
  63. [63]
    [PDF] Device Scaling of High Performance MOSFET with Metal Gate High ...
    Our results show that aggressive channel length scaling continually provides transistor performance gain with the use of metal gate high-k technology. A band ...
  64. [64]
    Device scaling of high performance MOSFET with metal gate high-K ...
    The use of a metal gate (rather than a polysilicon one) prevents poly depletion, eliminates the V th pinning problem that occurs with poly on high-k dielectrics ...
  65. [65]
    international roadmap - 2020 EDITION
    Strained silicon, high-κ/metal gate, FinFET, and use of other semiconductor materials (e.g., germanium) represented the main features of this scaling ...
  66. [66]
    Gate stack technology for nanoscale devices - ScienceDirect.com
    Mobility degradation arising from the metal electrode/3 nm HfO2 reaction can be recovered by hydrogen passivation, indicating that the increased Dit shown in ...
  67. [67]
    Overcoming the Fermi-Level Pinning Effect in the Nanoscale Metal ...
    The removal of Fermi-level pinning effectively reduces the Schottky barrier height by 12.5% to 16%. The demonstrated devices exhibit a high responsivity of up ...
  68. [68]
    Estimation of performance degradation due to interface traps in the ...
    Sep 12, 2025 · Device reliability issues originating from interface traps or bias temperature instability has been of great concern in emerging devices ...
  69. [69]
    Gating monolayer and bilayer graphene with a two-dimensional ...
    Apr 7, 2025 · Here, we investigate the viability of using 2D transition metal dichalcogenides (MoS2. MoSe2, WS2, WSe2) as an electrostatic gate for monolayer ...
  70. [70]
    [PDF] Apple 2025 Environmental Progress Report
    High adoption rates are a clear signal that customers value software updates. By January 2025, more than 75 percent of all iPhone devices introduced in the ...Missing: drop defects