Fact-checked by Grok 2 weeks ago

22 nm process

The 22 nm process refers to a manufacturing technology node, primarily pioneered by , that utilizes a 22-nanometer feature size to fabricate integrated circuits with enhanced density and efficiency. Introduced in , it marked a significant advancement by replacing traditional planar s with Tri-Gate s, which feature a vertical fin surrounded by gates on three sides for superior electrostatic control and reduced leakage. This innovation enabled high-volume production starting in late 2012, sustaining through denser packing and improved scaling. Key technical features of 22 nm process include Tri-Gate that deliver up to 37% higher performance at low operating voltages and more than 50% reduction in active power consumption compared to the preceding 32 nm planar technology. The process achieved densities exceeding 2.9 billion per die in demonstrations, such as a 364 Mbit array, while maintaining compatibility with existing high-k structures from prior nodes. These improvements allowed for lower voltage operation, minimizing dynamic power and enabling more energy-efficient devices without sacrificing speed. The 22 nm process was first implemented in Intel's Ivy Bridge microprocessors, part of the Core family, which powered laptops, desktops, and servers launched in 2012. Subsequent generations, including Haswell in 2013, further refined the node for broader applications like chipsets and low-power components. While Intel led its development, variants emerged from other foundries; for instance, TSMC's 22 nm ultra-low-power (22ULP) process, derived from 28 nm technology, targeted mobile and embedded applications with optimized performance-per-watt metrics. GlobalFoundries also pursued a 22 nm fully depleted silicon-on-insulator (FD-SOI) node to compete in low-power segments. The adoption of the 22 nm process significantly influenced the by popularizing finFET-like (Tri-Gate) architectures, paving the way for sub-20 nm scaling in later nodes and driving innovations in , data centers, and systems. Its legacy persists, with revived production for legacy components amid 14 nm supply constraints in 2019, and continued use in specialized applications into the , such as UMC's 22 nm high-voltage (eHV) platform launched in 2024 and Samsung's 22 nm mobile display driver ICs introduced in 2025. Overall, the node exemplified a shift toward 3D transistor designs, balancing performance gains with power efficiency to meet escalating demands for portable and high-performance .

Overview

Definition and scaling

The 22 nm process node represents a generation in manufacturing where the nomenclature "22 nm" primarily denotes the targeted physical of transistors or the minimum metal half-pitch for interconnects, rather than a literal of all device dimensions. This evolved from earlier nodes, where feature sizes like and half-pitch were more closely aligned, but by the 22 nm era, it served as a and identifier for overall scaling progress. According to the International Technology for Semiconductors (ITRS) update, the 22 nm node targeted a physical of approximately 22 nm, while metal 1 half-pitch was specified around 38 nm for units (MPUs) in 2011. This node achieved through an approximate 0.7x linear dimension reduction from the preceding , which had a physical of about 27 and metal half-pitch near 52 , resulting in roughly 2x higher density per unit area. Such adhered to principles by enabling more s on a while managing and trade-offs, though the pace of shrinkage began to moderate due to physical limits in planar designs. In practice, implementations like Intel's 22 nm process featured typical s of 30-34 nm and a contacted poly pitch of 90 nm, providing concrete metrics for this density gain. Within the ITRS roadmap, the 22 nm node was positioned as a full technology generation succeeding the 32 nm node (introduced around 2009) and preceding the 14 nm node (around 2014), with production anticipated for 2011-2012. It marked a pivotal point emphasizing the transition from traditional planar transistors to 3D architectures, such as tri-gate FinFETs, to sustain scaling beyond classical limits while improving electrostatic control and reducing leakage. This shift addressed challenges in maintaining performance at sub-30 nm dimensions, aligning with ITRS projections for extended viability.

Historical timeline

The development of the 22 nm semiconductor process node built upon the prior evolution of planar scaling, particularly Intel's introduction of the in 2009 with the Westmere family of processors, which marked the second generation of high-k metal gate technology and enabled initial production of chips integrating CPU and graphics cores. This node was followed by the industry-wide adoption of the 28 nm half-node, a transitional shrink from 32 nm that improved density and performance without a full architectural overhaul; Semiconductor Manufacturing Company () became the first foundry to offer 28 nm general-purpose technology in 2011, with variants optimized for high-performance and low-power applications entering volume production shortly thereafter. In May 2011, Intel unveiled its 22 nm process technology at the Intel Developer Forum, demonstrating the world's first 3-D tri-gate transistors designed to overcome planar scaling limitations by enhancing gate control and reducing leakage. Later that year, at the International Electron Devices Meeting (IEDM) in December 2011, Intel presented joint research papers highlighting advancements in tri-gate architectures, including scalability for low-power III-V field-effect transistors, underscoring the technology's potential for future nodes. Intel initiated high-volume manufacturing of 22 nm chips in the second quarter of 2012, with the Ivy Bridge processors representing the first commercial implementation, launching in April of that year and delivering significant improvements in performance-per-watt over the preceding 32 nm generation. In parallel, other foundries advanced their 22 nm efforts; announced its 22 nm ultra-low-power (ULP) process in 2017, targeting mobile and applications, with mass production commencing in 2018 to provide a planar-compatible option derived from its 28 nm platform. Around 2014, began development of its 22FDX fully depleted silicon-on-insulator (FD-SOI) variant, culminating in the platform's official launch in July 2015 as a low-power alternative emphasizing for automotive and connected devices. By 2013, the 22 nm node signified the broader industry's transition away from widespread planar transistor use at leading edges, as Intel's tri-gate adoption accelerated the shift toward multi-gate 3-D structures to sustain amid diminishing returns from traditional scaling.

Technical features

Transistor architecture

The 22 nm process introduced a pivotal advancement in transistor architecture through Intel's adoption of Fin Field-Effect Transistors (FinFETs) in a tri-gate configuration, marking the industry's first commercial implementation of 3D transistor structures for logic devices. This design features a vertical fin serving as the , with the wrapping around three sides—top and two lateral surfaces—for superior compared to traditional 2D planar MOSFETs. The dimensions typically include a height of approximately 30 nm and a width of 8-10 nm, enabling fully depleted operation that minimizes leakage while maximizing performance in high-volume manufacturing. In contrast to planar transistors, the tri-gate FinFET significantly reduces short-channel effects such as drain-induced barrier lowering and improves electrostatic integrity by increasing the gate-to-channel coupling, allowing for better at advanced nodes. This architectural shift results in enhanced due to the expanded effective channel perimeter, which can be approximated by the formula
C_{\text{gate}} = \epsilon_{\text{ox}} \cdot \frac{ L_{\text{gate}} (2 H_{\text{fin}} + W_{\text{fin}} ) }{ t_{\text{ox}} },
where \epsilon_{\text{ox}} represents the oxide , H_{\text{fin}} and W_{\text{fin}} are the height and width, t_{\text{ox}} is the oxide thickness (or for high-k dielectrics, approximately 0.9 nm), and L_{\text{gate}} is the gate length. The increased supports higher drive currents and faster switching speeds, with NMOS transistors achieving approximately 1.0 mA/μm under typical operating conditions.
Intel's tri-gate FinFET differs from double-gate variants explored in other implementations, where the gate controls only two sides of the fin, potentially offering simpler fabrication but reduced electrostatic control. To further optimize performance, embedded silicon-germanium (eSiGe) stressors are integrated into the source and drain regions of PMOS transistors, providing a that boosts by about 30% and enhances overall PMOS drive strength. These innovations collectively enable the 22 nm tri-gate architecture to deliver up to 37% performance improvement at low voltages relative to the preceding 32 nm planar .

Materials and parameters

The 22 nm process utilizes high-k metal gate (HKMG) technology to enable continued gate dielectric scaling beyond traditional SiO₂, incorporating as the primary high-k dielectric material paired with or metal gates. This stack achieves an of approximately 0.9 nm, which reduces gate leakage by orders of magnitude compared to SiO₂ while maintaining equivalent to thinner physical oxides. The HKMG approach, integrated with the Tri-Gate FinFET structure, supports low gate leakage for both high-performance and low-power variants. Strain engineering enhances charge carrier mobility in the channel, with tensile strained silicon applied to n-channel MOSFETs (NMOS) via raised source/drain regions and a fifth-generation process, while p-channel MOSFETs (PMOS) employ embedded silicon-germanium (SiGe) for compressive strain. Contact resistance is minimized using nickel-platinum (NiPt) silicide at the source and drain, achieving values around $10^{-9} \, \Omega \cdot \mathrm{cm}^2, which improves drive current and overall transistor efficiency. Key transistor parameters include a nominal supply voltage (V_{DD}) of 0.75–1.0 V for logic operation, enabling power-efficient switching, with off-state leakage current (I_{off}) controlled below 10 pA/μm for thin-gate devices to meet low requirements. Ring oscillator stage delays reach approximately 10 ps, reflecting improved speed over prior nodes at matched voltages and power. Interconnects feature ultra-low-k carbon-doped oxide (CDO) dielectrics with a (k) of about 2.2 for the first six metal layers to reduce , using (Cu) metallization with thin barrier liners for all levels. Self-aligned vias enable resistances below 5 Ω, supporting high-density routing without excessive signal delay.

Manufacturing aspects

Lithography and fabrication

The 22 nm process relied on using 193 nm argon fluoride (ArF) light sources to pattern features, achieving a (NA) of 1.35 through water immersion between the lens and wafer. This approach extended the resolution of optical beyond traditional dry processes, enabling half-pitch features down to approximately 38 nm in a single exposure, though was essential for denser structures. Double patterning was employed for critical layers, such as the first metal (M1) interconnect, to achieve tight pitches around 80 nm without excessive edge placement errors, while higher metal layers used single patterning for cost efficiency. Quadruple patterning was not standard but could be applied selectively for complex metal lines in some implementations to resolve sub-20 nm features. Extreme ultraviolet (EUV) lithography was not adopted at introduction due to its technological immaturity, including insufficient source power and mask defectivity, making immersion the viable choice for high-volume manufacturing. Etching and deposition techniques were pivotal for precision at this scale. Atomic layer deposition (ALD) was used to form the high-k metal gate (HKMG) stack, depositing thin, conformal layers of hafnium-based dielectrics (e.g., HfO₂) with equivalent oxide thickness below 1 nm, followed by metal work function tuning. Self-aligned contacts (SAC) were integrated to minimize misalignment in source/drain connections, using dielectric spacers to define contact areas precisely amid aggressive transistor pitches. Fin formation for tri-gate transistors employed sidewall image transfer (also known as spacer-transfer lithography), where sacrificial spacers were patterned via lithography and etching to double fin density, achieving fin pitches of about 60 nm with minimal critical dimension variation. Wafer processing occurred on 300 mm silicon , with processes optimized for throughput and defect control. Initial yields were challenging due to the complexity of structures but reached production levels comparable to prior nodes, supported by advanced . Thermal budgets were tightly managed below 1000°C in key steps to preserve embedded strain in source/drain regions, enhancing carrier mobility without relaxation during HKMG integration. Intel's implementation featured a gate-last process flow, where a sacrificial polysilicon gate was removed after source/drain formation, allowing independent optimization of the strain and gate stack. This sequence—fin patterning, dummy gate deposition, spacer formation, raised source/drain , then HKMG replacement—minimized diffusion and thermal exposure, critical for maintaining short-channel control in tri-gate devices.

Innovations and challenges

The 22 nm process marked a pivotal shift in semiconductor manufacturing by introducing Intel's 3D tri-gate architecture as a primary to surmount the electrostatic limitations of planar s at advanced nodes. This , where the gate wraps around three sides of a raised fin, enhanced gate-to-channel coupling, mitigating short-channel effects and enabling fully depleted operation for improved drive current and reduced off-state leakage. Compared to the preceding 32 nm planar process, the tri-gate s delivered a 37% boost at equivalent power consumption, particularly at low operating voltages around 0.7 V, while also allowing over 50% lower active power at constant levels. A key challenge in implementing tri-gate FinFETs at 22 nm was fin variability, stemming from the nanoscale dimensions and constraints, which could introduce up to 10% variation in fin widths using 193 nm with double patterning. -to-fin spacing, approximately 52 nm (derived from a 60 nm fin and ~8 nm fin width), further exacerbated potential inconsistencies, with such dimensional fluctuations contributing to variation in circuit speed due to impacts on effective width and . Mitigation relied on advanced (OPC) techniques integrated into the flow, achieving local fin control below 1 nm to stabilize characteristics and minimize losses. Later variants, such as the 22FFL process introduced around 2017, tightened the fin to 45 nm for enhanced density in mobile and RF applications. Power density emerged as another significant hurdle at 22 nm, where dynamic power scaled quadratically with supply voltage and linearly with (proportional to V^2 \cdot f), but subthreshold leakage was effectively reduced relative to the 32 nm node through tri-gate design and other countermeasures, enabling lower operating voltages. The tri-gate innovation addressed this by steepening the , thereby curbing leakage; complementary approaches, such as adaptive body biasing to dynamically adjust threshold via body voltage, were explored in parallel processes like fully depleted SOI variants to further optimize power efficiency. Interconnects at 22 nm also presented delay challenges, accounting for about 15% of overall delay due to rising from thinner lines and higher-k , which began to rival switching times in critical paths. While initial 22 nm implementations used low-k materials to mitigate , later process variants incorporated air-gap integration—voids replacing in non-critical regions—to reduce effective and cut by up to 18%, enhancing without new materials.

Commercial implementations

Intel's adoption

Intel pioneered the commercial adoption of the 22 nm process node, introducing it into high-volume manufacturing in late 2011 at its D1X fabrication facility in , with full production ramp-up occurring throughout 2012. This marked Intel's first use of Tri-Gate transistors, a FinFET that enhanced performance and power efficiency compared to prior planar designs, building on internal research that began with the invention of the Tri-Gate structure in 2002 and progressed through demonstrations and optimizations over the subsequent decade. The core 22 nm process was optimized for reduced power consumption while maintaining high performance, enabling variants tailored to specific applications. High-performance configurations supported central processing units (CPUs) such as the Ivy Bridge family, which integrated over 1 billion transistors per die—reaching up to 2.9 billion in multi-core models—to deliver improved clock speeds and efficiency. In parallel, low-power variants were developed for embedded and mobile uses, including the processor line with the microarchitecture, which achieved up to three times the performance or five times lower power draw relative to prior generations. Key milestones included the shipment of initial Ivy Bridge units in Q2 2012, comprising about 25% of 's total volume by mid-year, and ongoing yield optimizations that positioned 22 nm as the company's highest-yielding process to date by 2013.

Other foundries

While Intel pioneered the 22 nm process for high-performance logic applications, other leading foundries adopted the node later and tailored it primarily for specialty uses such as ultra-low power mobile devices, , and analog/RF components, often with lower production volumes compared to Intel's scale. These implementations emphasized cost-effective scaling from prior nodes like 28 nm, prioritizing power efficiency over density for niche markets. TSMC introduced its 22 Ultra-Low Power (22ULP) process as a technology derived from its 28 high-performance compact (28HPC) platform, targeting mobile and wearable applications with a focus on analog and RF integration. The process offers approximately 10% area reduction, over 30% speed improvement, or more than 30% power savings relative to 28HPC, enabling efficient designs for battery-constrained devices. began in 2016, following risk production in prior years, and it saw adoption in low-power SoCs rather than high-volume digital logic. GlobalFoundries launched the 22FDX platform in 2015 as a fully depleted silicon-on-insulator (FD-SOI) , providing FinFET-comparable and at costs similar to 28 nm planar processes, optimized for sensors and ICs. It incorporates embedded non-volatile memory (eNVM) options such as eMRAM and resistive RAM for secure, low-latency storage in devices, with to dynamically adjust and power down to 0.4 V operating voltages. The ultra-thin SOI layer enables reduced variability and better analog matching compared to bulk alternatives, though production volumes remained modest post-2015 due to ecosystem maturity challenges. Samsung employed its 22 nm process starting around 2013 for specialty applications like display driver ICs (DDIs) and image sensors, using planar architectures alongside early FinFET explorations to support /LCD panels in mobile devices. This node facilitated low-power DDIs with integrated touch and sensing functions, achieving for displays by the mid-2010s, but with emphasis on integration density for panels rather than broad logic scaling. Overall, these efforts lagged Intel's 2012 rollout by 1-3 years and focused on differentiated, lower-volume markets, reflecting strategic priorities in power-sensitive and analog domains over general-purpose .

Applications and products

Microprocessors and SoCs

The 22 nm process enabled Intel's first major commercial deployment in high-performance microprocessors through the Ivy Bridge microarchitecture, introduced in April 2012. These processors utilized tri-gate transistors for improved power efficiency and performance density compared to the preceding 32 nm planar designs. A representative example is the Core i7-3770K, a quad-core processor with hyper-threading for eight threads, a 3.5 GHz base clock boosting to 3.9 GHz, 8 MB of L3 cache, and a 77 W thermal design power (TDP), fabricated on a 160 mm² die containing approximately 1.4 billion transistors. Ivy Bridge delivered roughly 10-20% higher overall performance than Sandy Bridge processors at equivalent power levels, driven by the tri-gate architecture's efficiency gains, which allowed for higher clock speeds or reduced consumption in CPU-bound tasks. Integrated graphics saw even larger advances, with the HD Graphics 4000 providing up to twice the performance of Sandy Bridge's HD 3000 in 3D workloads, supporting DirectX 11 and enhancing capabilities. Intel extended the 22 nm node with the Haswell microarchitecture in June 2013, serving as a refresh that refined Ivy Bridge's design while retaining the same process for cost-effective scaling. Haswell emphasized integrated GPU enhancements, upgrading to the HD Graphics 4600 with up to 1.2 GHz dynamic frequency and improved execution units for 50-100% better graphics performance over Ivy Bridge in select applications. Processors like the Core i7-4770 featured four cores, eight threads, a 3.4 GHz base clock boosting to 3.9 GHz, 8 MB L3 cache, and an 84 W TDP, further optimizing for power-sensitive desktops and laptops. In system-on-chip (SoC) applications, applied 22 nm to the Bay Trail platform in late 2013, targeting tablets and hybrid devices with low-power processors based on the . The Z3770, for instance, integrated four cores clocked up to 2.4 GHz, 2 MB L2 , and Gen7 , achieving up to double the CPU performance and triple the throughput of prior 32 nm SoCs like Clover Trail while supporting extended life in and systems. This marked a key transition for Intel's mobile SoCs from 32 nm, enabling competitive entry into the tablet market. Beyond , TSMC's 22 nm processes supported low-power variants from other vendors, though mainstream mobile s remained on 28 nm during the core 22 nm era; later wearable platforms like the Snapdragon W5+ Gen 1 incorporated 22 nm always-on co-processors for efficient low-power operations alongside 4 nm main dies.

Analog and power devices

In analog and power device applications, the 22 nm process enables specialized semiconductors that prioritize efficiency, integration, and performance in non-digital domains such as displays, power regulation, and sensing. These implementations leverage the node's balance of power reduction and analog/RF capabilities, derived from high-k (HKMG) architectures or fully depleted silicon-on-insulator (FD-SOI) variants, to support compact, low-voltage operations in consumer and industrial systems. A key adoption is in display driver integrated circuits (DDIs) for mobile devices, where System LSI has integrated the 22 nm process to drive and panels. This DDI achieves 16% overall power reduction compared to prior nodes, with up to 30% savings in logic circuits (from 1 V to 0.8 V operation), through optimized integration and reduced leakage, enabling brighter, more efficient displays without compromising refresh rates. For , GlobalFoundries' 22FDX FD-SOI platform stands out, supporting DC-DC converters with ultra-low quiescent currents (300 nA) and high light-load efficiency (75% at 10 μA). The technology incorporates varactors and transistors with transition frequencies () over 350 GHz, allowing precise and high-frequency switching in compact footprints. These features make 22FDX suitable for automotive electronic control units (ECUs), where it meets Grade 1 qualifications for operation up to 150 °C , enhancing reliability in power distribution for engine management and advanced driver-assistance systems. In sensors and RF components, TSMC's 22ULP process facilitates image sensors () and millimeter-wave (mmWave) circuits, targeting ultra-low power and applications. For , 22ULP underpins image signal processors in stacked back-illuminated sensors, delivering and low readout noise for resolutions up to 12 MP in compact modules. In mmWave RF, the process supports low-noise amplifiers for efficient and in and modules, with general power reductions of 20–30% versus 28 nm equivalents.

Legacy and impact

Performance comparisons

The 22 nm process marked a substantial advancement over the preceding 32 nm node, primarily through the introduction of tri-gate transistors, which enabled a 37% performance increase at low voltages or over 50% power reduction while maintaining constant performance, according to Intel's engineering data. Transistor density rose to approximately 2.4 times that of the 32 nm process, with 22 nm achieving around 16.5 million transistors per square millimeter compared to 7.1 million for 32 nm, allowing for greater integration in comparable die sizes. This scaling also contributed to improved power efficiency, with 22 nm designs demonstrating over 50% reduction compared to 32 nm equivalents in representative low-power applications. In comparison to the subsequent 14 nm node, the 22 nm process offered cost advantages for mid-range devices due to lower fabrication complexity and maturity, despite 14 nm providing approximately 2.5 times the (or about 150% increase) of the 22 nm process through refined FinFET optimizations. Benchmarks illustrated these gains: for instance, Ivy Bridge processors on 22 nm delivered SPECint2006 scores approximately 20% higher than on 32 nm at similar power envelopes, reflecting combined architectural and process improvements. Thermal reached up to 100 W/cm² in high-performance 22 nm implementations, necessitating advanced cooling solutions but underscoring the node's capability for dense, efficient operation. Economically, the 22 nm process reduced costs per transistor through higher yields and density scaling, lowering per-wafer expenses compared to 32 nm. These metrics highlight the 22 nm node's balanced trade-offs in performance, power, and cost relative to adjacent generations, with brief benefits from early FinFET-like tri-gate structures enhancing gate control without the full complexity of later nodes.

Transition to successors

The transition from the 22 nm process to the 14 nm node marked a pivotal advancement in semiconductor scaling, driven by the need for continued performance gains amid physical limitations. Intel introduced its 14 nm process with the Broadwell microarchitecture in late 2014, representing a refinement of the tri-gate transistor technology first deployed at 22 nm, which evolved into more mature FinFET structures for improved gate control and reduced leakage. This shift enabled denser integration and lower power consumption, with initial products like the Core M processor targeting ultrathin devices. By 2015, the adoption became industry-wide, as competitors such as TSMC and Samsung ramped up 16 nm and 14 nm production, respectively, while early trials of extreme ultraviolet (EUV) lithography began to address patterning challenges for sub-14 nm features. The primary reasons for this transition stemmed from the need to further improve scaling beyond the 22 nm tri-gate introduction, where physical limits in feature size and yielded for continued performance gains due to quantum effects and resolution limits with 193 nm immersion tools. The 22 nm node served as a critical bridge to sub-20 nm regimes, introducing architectures that mitigated short-channel effects and extended , but escalating manufacturing complexity—such as higher defect densities and cost—necessitated the move to FinFET-dominant processes for sustained economic viability. As of 2025, the 22 nm process continues in legacy applications, particularly in automotive and (IoT) sectors, where its balance of performance, power efficiency, and cost supports mature ecosystems without requiring the latest nodes. , for instance, utilizes its 22FDX platform for system-on-chips targeting 77 GHz and 120 GHz frequencies in advanced driver-assistance systems (ADAS), enabling collaborations like that with indie Semiconductor to accelerate adoption in vehicles. This ongoing production underscores 22 nm's significant role in specialized markets, prioritizing reliability over cutting-edge scaling. The 22 nm process profoundly influenced by delivering power-efficient processors that powered the proliferation of smartphones, tablets, and laptops during the , with architectures like Intel's Ivy Bridge and Haswell enabling thinner designs and longer battery life. The 22 nm process saw widespread adoption, with billions of chips shipped across the industry by 2020, cementing its role in the mobile boom and establishing a foundation for subsequent nodes.

References

  1. [1]
    Intel Reinvents Transistors Using New 3-D Structure
    May 4, 2011 · -- Intel demonstrates a 22nm microprocessor - codenamed "Ivy Bridge" - that will be the first high-volume chip to use 3-D Tri-Gate transistors.
  2. [2]
    [PDF] Intel's Revolutionary 22 nm Transistor Technology
    Intel is introducing revolutionary Tri-Gate transistors on its. 22 nm logic technology. • Tri-Gate transistors provide an unprecedented combination.
  3. [3]
    22nm Process War Begins - Semiconductor Engineering
    Apr 23, 2017 · GlobalFoundries, Intel and TSMC are racing to develop new processes targeted at 22nm. On paper, 22nm enables faster chips than 28nm and is less expensive to ...<|control11|><|separator|>
  4. [4]
    22nm Technology - Taiwan Semiconductor Manufacturing Company ...
    The 22nm Ultra-Low Power (22ULP) process technology is derived from TSMC's industry-leading 28nm technology. It delivers enhanced performance and cost ...
  5. [5]
    Intel Unveils 10, 22nm Processes - EE Times
    Mar 28, 2017 · Separately, it announced a 22nm low-power FinFET node to compete for foundry business with fully depleted silicon-on-insulator (FD-SOI) from ...
  6. [6]
    [PDF] Roadmap for 22nm and beyond - IC-Unicamp
    Historically,. 'xx μm' or 'xx nm' represented the lithography resolution which was the half pitch of the lines, the minimum gate length, and the metal line ...
  7. [7]
    [PDF] international technology roadmap
    The Interconnect chapter of the ITRS addresses the wiring system that distributes clock and other signals to the various functional blocks of a CMOS integrated ...
  8. [8]
    [PDF] A 22nm SoC Platform Technology Featuring 3-D Tri-Gate and High ...
    This family of devices is constructed on a 90nm pitch with a 30nm and 34nm gate length, and achieves subthreshold leakages ranging from 100nA/um to. 1nA/um ...
  9. [9]
    Moore's Law Marches on at Intel
    Sep 22, 2009 · "We've begun production of the world's first 32nm microprocessor, which is also the first high-performance processor to integrate graphics with ...<|separator|>
  10. [10]
    28nm Technology - Taiwan Semiconductor Manufacturing Company ...
    TSMC's 28nm process technology features high performance and low power consumption advantages. This technology supports a wide range of applications.Missing: half- | Show results with:half-
  11. [11]
    [PDF] Over 6 Decades of Continued Transistor Shrinkage, Innovation - Intel
    May 4, 2011 · Let's take a look back at the transistor's history and key milestones as Intel's 22nm innovation ushers in new semiconductor technology and ...Missing: timeline | Show results with:timeline
  12. [12]
    Intel and IQE present three joint papers at the IEDM conference in ...
    Dec 8, 2011 · The research presented show that the 3-D Tri-gate architecture is an effective way to improve the scalability of III-V FETs for future low power ...
  13. [13]
    Intel Launches First 22-nm Ivy Bridge Processors - CRN
    Apr 23, 2012 · Intel launched Monday the first of its highly-anticipated third-generation Ivy Bridge Core processors based on its debut 22nm tri-gate transistor technology.
  14. [14]
    GLOBALFOUNDRIES Launches Industry's First 22nm FD-SOI ...
    Jul 13, 2015 · GF launches its FDX platform in Dresden by investing $250 million for technology development and initial 22FDX capacity. This brings the ...Missing: timeline | Show results with:timeline
  15. [15]
    Moore's Law Milestones - IEEE Spectrum
    Apr 30, 2015 · Intel surprises many observers by switching to a vertical, FinFET transistor earlier than expected, at the company's 22-nm technology generation ...Missing: 22nm | Show results with:22nm<|separator|>
  16. [16]
    [PDF] The Heat transfer in Fin-FET transistor - TechConnect Briefs
    Intel CPU Broadwell (14 nm, 2.9 GHz) and the Haswell family (22 nm, 4.4 GHz) has been pointed-out using black ... fin height is 30nm. Moreover, the 6 nm ...
  17. [17]
    Intel Ivy Bridge unveiled — The first commercial tri-gate, high-k ...
    The first commercial tri-gate, high-k, metal-gate CPU. Abstract: The year 2007 saw the introduction of the first high-k/metal gate ( ...
  18. [18]
    Intel Ivy Bridge unveiled — The first commercial tri-gate, high-k ...
    Aug 7, 2025 · NMOS drive currents are 1.62 mA/um Idsat and 0.231 mA/um Idlin at 1.0 V and 100 nA/um Ioff. PMOS drive currents are 1.37 mA/um Idsat and ...
  19. [19]
    Integration of Highly Strained SiGe in Source and Drain with HK and ...
    Feb 16, 2017 · This study integrates SiGe selective epitaxy in source/drain regions with high-k and metal gate for 22 nm PMOS transistors, showing a 30% ...Missing: eSiGe | Show results with:eSiGe
  20. [20]
    Gate Dielectric - an overview | ScienceDirect Topics
    In the 22 nm node, Intel adopted the 0.9 nm EOT [58]. The progress in the thinning of the EOT is very slow and it is in fact only a 0.05 nm reduction for each ...
  21. [21]
    Scaling the MOSFET gate dielectric: From high-k to higher-k ...
    Aug 7, 2025 · The high-k gate dielectric with sub-1 nm EOT and metal gate technology has already been adopted for 22 nm technology node and below by Intel ...
  22. [22]
    Intel's 22-nm process gives MOSFET switch a facelift - EE Times
    Sep 6, 2012 · The pursuit for the perfect switch faces many process challenges--a closer look inside Intel's latest 22-nm process ... fin height and can be ...
  23. [23]
    (PDF) Silicides for 22nm and Beyond - ResearchGate
    Aug 8, 2025 · 1×10-9 :-cm2. The selection of possible alternatives for silicide material for contact resistance. optimization is based on Schottky barrier ...Missing: Intel Ω- cm²
  24. [24]
    Ultra Low Dielectric Constant Materials for 22 nm Technology Node ...
    Metrology and approaches necessary for selection of ultra low-k dielectric materials for future generation of IC devices are discussed. It is shown that ...
  25. [25]
    Immersion lithography - Wikipedia
    Immersion lithography is a technique used in semiconductor manufacturing to enhance the resolution and accuracy of the lithographic process.
  26. [26]
    193i Lithography Takes Center Stage...Again
    Jun 15, 2023 · “The minimum resolution of current immersion 193 scanners, with 1.35NA, is 80nm, with double patterning this goes down to 40nm pitch (20nm lines ...Missing: ArF | Show results with:ArF
  27. [27]
    [PDF] Making of a Chip - Intel
    Applying Photoresist – scale: wafer level (~300mm / 12 inch). Photolithography is the process by which a specific pattern is imprinted on the wafer. It starts ...
  28. [28]
    Advanced Transistor Process Technology from 22- to 14-nm Node
    HKMG technique has found a new effective path for equivalent oxide thickness (EOT) scaling tendency, which is of deep significance to continuous scaling of MOS ...Missing: HfO2 | Show results with:HfO2
  29. [29]
  30. [30]
    Enabling 22-nm Logic Node with Advanced RET Solutions
    Aug 9, 2025 · Advanced OPC solutions such as Model Based SRAF and Source Mask Optimization will also be investigated in order to ensure good process control.Missing: mitigation | Show results with:mitigation
  31. [31]
    A Comparison of Intel's 32nm and 22nm Core i5 CPUs - Blog
    Oct 31, 2012 · The 22nm Ivy Bridge significantly improves on static (leakage) power over 32nm Sandy Bridge, but only shows small reductions in dynamic power.
  32. [32]
    [PDF] Fully depleted SOI (FDSOI) technology
    Apr 25, 2016 · Abstract Fully depleted SOI (FDSOI) has become a viable technology not only for continued CMOS scaling to 22 nm node and beyond but also for ...
  33. [33]
    Interconnect Challenges Grow - Semiconductor Engineering
    Aug 20, 2015 · In a chip, the average delay due to copper resistivity increased by 7.6% from 45nm to 22nm, according Georgia Tech. But on average, the delay ...Missing: contribution | Show results with:contribution
  34. [34]
    Low-k interconnect stack with metal-insulator-metal capacitors for ...
    Aug 18, 2025 · A minimum pitch of 52nm is achieved at M2, delivering 65% scaling reduction from Intel's 22nm process [2] . For resistance and capacitance ...
  35. [35]
    How to solve the back-end-of-line RC delay problem? - IMEC
    Aug 22, 2017 · They demonstrated a ~18% reduction in the RC of 22nm half-pitch dual damascene interconnects, due to a better interface and thinner barrier.<|separator|>
  36. [36]
    Intel Announces Multi-Billion-Dollar Investment in Next-Generation ...
    Oct 19, 2010 · -- The investment will fund a new development fab in Oregon, as well as upgrades to four existing fabs to manufacture the next-generation 22- ...
  37. [37]
    Intel's 22FFL Process Improves Power, Cost, and Analog
    Jan 15, 2018 · The 22FFL process relaxes the ground rules to reduce the need for double patterning, thereby cutting costs. At the same time, Intel's engineers ...
  38. [38]
    Intel Launches Low-Power, High-Performance Silvermont ...
    May 6, 2013 · Silvermont microarchitecture delivers ~3x more peak performance or the same performance at ~5x lower power over current-generation Intel® Atom™ ...
  39. [39]
    r/technology on Reddit: TSMC's wafer pricing now $18000 for a 3nm ...
    Jan 5, 2025 · 10 years ago you had a 22nm process and a wafer cost was $6,000. Today you have 3nm process and a wafer cost $18,000.The Current Wafer Pricing Rumor for TSMC up to N2 apparently ...TSMC's wafer pricing now $18000 for a 3nm wafer - RedditMore results from www.reddit.com
  40. [40]
  41. [41]
    [PDF] INVESTOR MEETING 2014
    22 nm data are shifted to align date of lead product qual. Depicts relative health, lines not to scale. 22nm Is Intel's Highest Yielding Process Ever. Source: ...Missing: initial | Show results with:initial
  42. [42]
    Foundries Prepare For Battle At 22nm - Semiconductor Engineering
    Nov 19, 2018 · 22nm is a compelling option. It provides better performance than 28nm, but it's less expensive than finFETs at 16nm/14nm and beyond.
  43. [43]
    TSMC Accelerates 5G Mobile Communications Commercialization
    Compared to 28nm high-performance compact (28HPC) technology, 22ULP provides 10% area reduction with more than 30% speed gain or more than 30% power reduction ...Missing: details | Show results with:details
  44. [44]
    GLOBALFOUNDRIES Delivers Industry's First Production-ready ...
    Feb 27, 2020 · GF's eMRAM is a highly versatile and robust embedded non-volatile memory (eNVM) that has passed five rigorous real-world solder reflow tests.
  45. [45]
    Globalfoundries Launches Own FDSOI Processes - EE Times
    Jul 13, 2015 · The 22FDX platform includes four differently optimized manufacturing processes that span a range of applications from entry and mid-tier mobile ...
  46. [46]
    22nm Mobile Display Driver IC Makes Low Power the Norm
    Jan 21, 2025 · Samsung System LSI has designed and developed a display driver IC (DDI) for smartphones using a 22nm process, which has been in mass production over a year.
  47. [47]
    Intel® Core™ i7-3770K Processor
    Total Threads 8, Max Turbo Frequency 3.90 GHz, Intel® Turbo Boost Technology 2.0 Frequency ‡ 3.90 GHz, Processor Base Frequency 3.50 GHz, Cache 8 MB Intel® ...
  48. [48]
    Ivy Bridge Official Benchmarks - Markedly Better Performance Than ...
    Dec 1, 2011 · Ivy Bridge Official Benchmarks - Markedly Better Performance Than Sandy Bridge · +7% higher overall SYSmark 2012 score · +14% higher overall ...
  49. [49]
    Intel® Core™ i7-4770 Processor (8M Cache, up to 3.90 GHz)
    GPU Specifications ; GPU Name · Intel® HD Graphics 4600 ; Graphics Base Frequency. 350 MHz ; Graphics Max Dynamic Frequency. 1.20 GHz ; Graphics Video Max Memory. 2 ...
  50. [50]
    Haswell is here: we detail Intel's first 4th-generation Core CPUs
    Jun 1, 2013 · ... Intel's established 22nm 3D tri-gate manufacturing process. Next year's refresh, code-named Broadwell, will modify the Haswell architecture ...
  51. [51]
    Intel Readies 'Bay Trail' for Holiday 2013 Tablets and 2-in-1 Devices
    Jun 5, 2013 · Highlights Next-Generation 22nm Intel® Atom™ Processor Products Aimed at Tablets and Smartphones, and Demonstrates Global 4G LTE Solution.
  52. [52]
    Bay Trail - Intel® Atom™ processor E3800 Product Family
    These SoCs are based on the Silvermont microarchitecture, utilizing Intel's industry-leading 22nm process technology with 3-D tri-gate transistors, which ...
  53. [53]
    [PDF] Snapdragon® W5+ and Snapdragon® W5 GEN 1 WEARABLE ...
    The platform features an enhanced hybrid architecture with a new 4nm SoC and 22nm highly integrated. AON Co-Processor. It incorporates new Bluetooth 5.3.
  54. [54]
    FDX™ FD-SOI | GlobalFoundries
    GF's FDX platform offers full SoC integration including digital, analog and high performance RF for signal range. The 22FDX® platform offers a versatile SoC ...Missing: options | Show results with:options
  55. [55]
    Display Dynamics – February 2024: OLED display driver ICs are ...
    Feb 28, 2024 · To stay ahead of the technology curve and save more power, Samsung Foundry and UMC started developing 21/22nm process nodes for AMOLED DDICs ...
  56. [56]
    DCDC-ULP-22FDX-1.8-5.5-0.5-3.3.01a_GF_22_FDX
    DC/DC buck converter with low quiescent current and high efficiency at light load in GF 22FDX · Key Benefits · Key Features · Applications · Key Performances.
  57. [57]
    GlobalFoundries and NXP to Deliver Next-Generation 22FDX ...
    Oct 23, 2024 · This new process technology collaboration will provide power-efficient and secure connectivity solutions for essential consumer and industrial applications.
  58. [58]
    How GF FDX™ and FinFET solutions are powering the next ...
    Jul 2, 2025 · Robust RF capabilities: Our 22FDX® transistors boast >350GHz Ft and >400GHz Fmax with a sample Noise Figure (NFmin) down to 0.2dB. GF Ultra ...Missing: varactor | Show results with:varactor
  59. [59]
    Sony ISP from 1.12 μm Pixel Pitch, 12 MP, Stacked Back-Illuminated ...
    These measured critical dimensions, along with the observed features of the transistors, suggest that the ISP functionality was manufactured using TSMC 22 nm ...
  60. [60]
    A Broadband Millimeter-Wave 5G Low Noise Amplifier Design in 22 ...
    Figure 1. NMOS's minimum noise figure as a function of frequency at various finger widths (total width = 19.2 μm). 2.2.2.Missing: 22ULP | Show results with:22ULP
  61. [61]
    Broadwell is coming: A look at Intel's low-power Core M and its ...
    Aug 11, 2014 · “Further improvements” to the process are still being made, and, at some point in 2015, Intel thinks yields will improve to roughly the level ...
  62. [62]
    Part 1: The Race for 14 nm Semiconductor Fabrication to Intensify ...
    Intel announced in fall 2013 that it would begin the foundry service business starting with 14 nm products. As the problem with production yield has not been ...
  63. [63]
    Extreme Ultraviolet (EUV) Lithography VI | (2015) | Publications - SPIE
    Apr 23, 2015 · Extreme ultraviolet (EUV) lithography has emerged as a promising candidate for the manufacturing of semiconductor devices at the sub-14nm half ...
  64. [64]
  65. [65]
    indie Semiconductor and GlobalFoundries Announce Strategic ...
    Mar 4, 2025 · indie Semiconductor and GlobalFoundries Announce Strategic Collaboration to Accelerate Automotive Radar Adoption. March 4, 2025. Silicon, ...