Fact-checked by Grok 2 weeks ago

POWER4

The POWER4 is a dual-core microprocessor architecture developed by IBM, introduced in October 2001 as part of the eServer pSeries Regatta system, featuring 680 million transistors and operating at up to 1.3 GHz. Initiated in 1996 by a team of approximately 250 IBM designers, architects, and engineers across the United States, Canada, and Germany—in collaboration with Hitachi for the Level 3 cache—the POWER4 project addressed IBM's competitive lag in the Unix server market and took nearly five years to complete. The architecture innovated with its industry-first dual-core design on a single chip, enabling simultaneous multithreading and advanced pipelining for improved performance and efficiency, while incorporating a proprietary low-interference material to enhance speed. Key specifications include per-core Level 1 caches of 64 KB for instructions (direct-mapped) and 32 KB for data (2-way set-associative), a shared 1.5 MB Level 2 unified cache (8-way set-associative), and an off-chip 32 MB Level 3 eDRAM cache (scalable to 128 MB), all with 128-byte lines except for the L3's 512-byte lines. It supports speculative superscalar out-of-order execution, advanced branch prediction, hardware data prefetching, and robust reliability, availability, and serviceability (RAS) features, forming up to 32-way symmetric multiprocessor (SMP) systems via a distributed switch topology where four chips per multi-chip module (MCM) create an 8-way SMP node. The POWER4 significantly revitalized IBM's position in , doubling the speed of competitors at half the cost, earning the Cahners In-Stat/MDR Analysts’ Choice Award, and laying the foundation for the subsequent processor series used in enterprise servers.

Development and history

Background and design goals

The emerged as a pivotal advancement in 's processor lineage, evolving from the superscalar POWER3 introduced in 1998 and the RS64 series developed for AS/400 servers starting in 1997. The POWER3 had established a foundation for high-performance scientific computing with its dual floating-point units and support for 64-bit (), while the RS64 series emphasized commercial workloads through innovations in processor-memory integration. A key motivation was the convergence of the RS/6000 UNIX workstation and server line (pSeries) with the iSeries midrange servers, aiming to create a unified 64-bit platform that maintained binary compatibility for both PowerPC and PowerPC AS instruction sets across diverse applications. Central to the POWER4's design goals was the realization of a "server on a chip" , integrating dual cores, , and a fabric controller to enable comprehensive system functionality on a single die. This approach targeted high in configurations up to 32-way systems using multi-chip modules, balancing demands from commercial environments like database transactions with scientific tasks. By pioneering a multicore design with two cores per chip, the POWER4 sought to double throughput without proportionally increasing power consumption, while shared resources such as the were intended to minimize inter-core latency and enhance overall efficiency for multi-threaded workloads. Development of the POWER4 began in under IBM's Server Group, involving over 300 engineers across multiple laboratories to leverage emerging technologies for performance gains. Emphasis was placed on , first introduced in the RS64-III, and silicon-on-insulator (SOI) technology from the RS64-IV, which improved speed, reduced power leakage, and enabled denser integration compared to prior aluminum-based designs. These innovations were specifically targeted to address the growing needs of scalable enterprise servers while maintaining compatibility with existing and RS64 ecosystems, in collaboration with for the Level 3 cache.

Release and production

The POWER4 processor was announced by in October and entered production with first shipments beginning in late , powering the Regatta family of servers, including the high-end pSeries model. This launch marked a significant milestone, as the dual-core design enabled scalable systems capable of supporting up to 32 processors. Initial production utilized a 0.18 μm silicon-on-insulator (SOI) process with copper interconnects, fabricated at IBM's facility, resulting in a large die size of approximately 414 mm² containing 174 million transistors. The multicore complexity and expansive die area presented challenges, leading to higher power draw and output that necessitated careful system-level cooling in early deployments. By mid-2002, IBM advanced to a 0.13 μm SOI process at its facility for the enhanced POWER4+ variant, shrinking the die to 267 mm² while incorporating low-k dielectric materials like for reduced interconnect capacitance. This iteration operated at a 1.5 V , improving efficiency and enabling higher clock speeds up to 1.9 GHz. These manufacturing advancements represented 's push toward high-volume integration of wiring and low-k dielectrics in processors, building on prior innovations to enhance signal speed and lower power consumption. The POWER4's rollout into pSeries 690 systems contributed to regaining the top position in the global market that year, with a 29.8% share driven by strong demand for its performance in enterprise computing.

Microarchitecture

Core design and pipeline

The POWER4 microprocessor features two identical 64-bit cores integrated on a single die, enabling a 2-way () configuration within the chip. Each core employs a speculative superscalar out-of-order execution design based on the PowerPC Architecture version 2.01, which supports both 32-bit and 64-bit applications with binary compatibility. This architecture allows up to eight instructions to be fetched and issued per cycle, with a sustained completion rate of up to five instructions per cycle, facilitating high while maintaining precise exceptions through . The pipeline in each POWER4 core is deeply pipelined, comprising approximately 16 to 18 stages when accounting for variable execution paths, divided into key phases: instruction fetch (three stages: instruction fetch address generation, instruction access, and branch prediction resolution), decode and rename (five stages for decoding and grouping instructions), dispatch and (two stages with variable based on ), execution (three to seven variable stages depending on operation type, such as fetch, effective calculation/data access for loads/stores, and execution in functional units), (two stages for and checkpointing), and retire (two stages for committing results in program order). Branch prediction is handled via a tournament-style combining local and global history tables, each with 16,384 entries and 1-bit predictors, alongside a selector table for choosing between them; this achieves approximately 90% accuracy across typical workloads. Key mechanisms in the core include to eliminate false dependencies, utilizing 80 physical general-purpose registers (mapping to 32 logical integer registers) and 72 physical floating-point registers (mapping to 32 logical registers) per core. is managed through a 20-entry Global Completion Table (GCT) that tracks instruction groups, supporting over 200 instructions in flight while ensuring recovery from mis-speculation via checkpointing. Power management incorporates to disable clocks in inactive pipeline stages and units, reducing dynamic power dissipation without impacting performance. The core handles the full PowerPC instruction set, exceeding 200 instructions in total, with integrated support for fixed-point and floating-point operations through dedicated units at the end of the execution phase.

Execution units

The POWER4 core incorporates eight specialized execution units to enable superscalar, out-of-order instruction execution, comprising two fixed-point units (FXUs), two floating-point units (FPUs), two load/store units (LSUs), one branch unit (BRU), and one condition register unit (CRU). The two FXUs handle arithmetic and logical operations, including , , shifting, and address generation for memory accesses, supporting up to 64-bit operands with a of approximately six cycles for dependent operations. One FXU is dedicated to non-pipelined multiplies, while the other manages divides and special-purpose operations, allowing concurrent execution of simple arithmetic alongside more complex tasks. The two FPUs implement fused multiply-add (FMA) operations compliant with the standard, each capable of initiating one double-precision FMA per cycle to deliver up to four floating-point operations per cycle across the core, with a six-cycle latency. These units provide four-way parallelism for paired single-precision floating-point computations, enabling higher throughput in applications leveraging scalar SIMD-like processing. The two LSUs manage data movement to and from the , supporting up to one 128-bit load or store per cycle with non-blocking capabilities via a 32-entry load miss and store reorder , facilitating up to eight outstanding misses. Address generation occurs within the FXUs, allowing the LSUs to focus on access and consistency enforcement. The single BRU processes control-flow instructions, including conditional and unconditional branches, with support for up to two branches per cycle through prediction mechanisms and a dedicated four-entry issue queue, resolving correct predictions in as few as five cycles. The CRU complements this by executing logical operations on the 32-bit condition register (organized as eight four-bit fields with renaming support), drawing from a 12-entry issue queue to evaluate predicates for branches and comparisons. Interactions among these units are orchestrated through dynamic scheduling via shared and dedicated issue queues (totaling eight queues with 16 to 24 entries), a global wakeup logic that broadcasts completion signals, and a 20-entry global completion table to track instruction groups, enabling up to eight dispatches and five completions per cycle while respecting dependencies. This out-of-order mechanism, combined with the units' pipelined designs, sustains high instruction throughput, with the FPUs' paired single support contributing to peak performance exceeding four gigaflops per core in optimized floating-point workloads at nominal clock speeds.

Cache and memory subsystem

The POWER4 processor features a multi-level designed to support high-performance server and scientific computing workloads. Each includes a dedicated L1 of 64 KB, organized as direct-mapped with 128-byte lines divided into four 32-byte sectors, enabling a single 32-byte read or write per cycle. The L1 is 32 KB per , 2-way set-associative with 128-byte lines, and triple-ported to allow two 8-byte reads and one 8-byte write per cycle in a store-through protected by . These L1 caches feed into a shared on-chip L2 unified of approximately 1.5 (1.41 effective ) per chip, which serves two cores and is 8-way set-associative with 128-byte lines; it provides a of 96 bytes per cycle across three autonomous controllers, each handling 32 bytes per cycle. Beyond the chip, an off-chip L3 of 32 per chip, implemented in and 8-way set-associative with 512-byte blocks (each comprising four 128-byte sectors), extends the hierarchy, with victim from the L2 directed to the L3 to maintain efficiency in larger multi-chip modules (MCMs). Cache coherence in the POWER4 is maintained through a modified MESI protocol extended to seven states (I for invalid, SL for shared-last-use, S for shared, M for modified, Me for modified-exclusive, Mu for modified-update, and T for transient) at the L2 level, which serves as the primary point of coherence across the chip. This protocol ensures that all L1 data is also present in the L2, making the L2 inclusive of L1 contents to prevent data loss during evictions, while the L3 operates with a simplified five-state protocol (I, S, T, Trem for transient-remote, O for owner) and does not enforce inclusivity with lower levels. For multiprocessor scalability in systems with multiple chips or nodes, the design incorporates directory-based coherence mechanisms, particularly for inter-chip communications via the L3 directories, reducing broadcast traffic and supporting efficient data interventions and snooping at the L2. The L2 includes two 4-entry store queues of 64 bytes each to handle pending operations, and victim cache lines from the L2 are forwarded to the L3 to optimize reuse in shared environments. The subsystem integrates controllers directly on each POWER4 chip, supporting up to 16 GB of main with error-correcting code () and chip-kill functionality, along with for reliability. Each controller features two ports operating at 400 MHz with 16-byte wide interfaces (effectively four 4-byte buses per port), enabling an aggregate bandwidth of up to 10 GB/s across the chip through interleaving and dual-port access, with 64-entry queues for reads and writes to manage . The GX bus provides I/O interfacing with two 4-byte wide links per chip running at one-third of the core clock speed, delivering approximately 1.6 GB/s per link in bidirectional operation for connectivity to peripherals and system clustering. To enhance performance for patterns prevalent in applications, the POWER4 includes prefetching mechanisms supporting up to eight per core, automatically fetching lines ahead (one line into L1, five into L2, and up to 20 into L3 from ) without software intervention, while the non-inclusive nature of the L3 cache relative to L1 and L2 minimizes unnecessary invalidations and .

System integration

Multi-chip module configuration

The POWER4 multi-chip module (MCM) integrates four chips, each containing two cores, to form an 8-way (SMP) unit with a total of eight cores. This design incorporates off-chip (eDRAM) for the L3 , with 32 MB allocated per chip for a combined 128 MB shared across the MCM, alongside integrated controllers that enable high-bandwidth access to system . The MCM employs a high-performance glass-ceramic to house these components, facilitating efficient intra-module and . Scalability is achieved by interconnecting multiple MCMs within a , supporting up to four MCMs to deliver a 32-way configuration with 32 cores for demanding enterprise workloads. Intra-MCM communication provides substantial L3 cache bandwidth of 55.5 GB/s across the four chips, supported by dedicated high-speed interfaces that connect the dies via multiple unidirectional buses operating at frequency. This architecture ensures low-latency access while the shared L3 cache acts as a unified for all cores in the module. Key packaging innovations include flip-chip (BGA) technology with controlled collapse chip connection () solder bumps, providing over 7,000 high-density connections per die at a 200 μm pitch to support more than 2,000 I/O pins per chip for robust . The overall MCM features a (LGA) with 5,184 off-module connections at a 1 mm pitch on an 85 mm × 85 mm . Thermal management relies on integrated heat spreaders and advanced thermal interface materials, capable of handling over 500 W per MCM—specifically up to 624 W total from 156 W per chip—to sustain high-frequency operation in dense configurations. Configurations extend beyond full MCMs to accommodate varied system needs, including single-chip modules with two cores for compact, low-end servers and dual-MCM setups yielding 16 cores for entry-level multi-processor environments. These options allow flexible deployment while maintaining the shared L3 cache as a core enabler for performance in smaller-scale systems.

Interconnect and I/O

The POWER4 microprocessor incorporates an on-chip interconnect managed by the Core Interface Unit (CIU), a crossbar switch that connects the two processor cores on each die to three L2 cache controllers, providing 32 bytes per cycle for data and instruction reloads via 32-byte wide ports and 8-byte wide store ports. The L2 cache controllers handle coherence and directory-based protocol enforcement, interfacing directly with the memory subsystem to support efficient data movement without relying on broadcast mechanisms. At the system level, the Fabric Controller (FC) within the MCM orchestrates point-to-point links for inter-processor communication, using unidirectional 16-byte wide buses at half the processor frequency (approximately 500 MHz for a 1 GHz core) within an MCM and 8-byte wide buses at the same frequency between MCMs, achieving 4 GB/s per unidirectional inter-MCM link. This fabric enables scalable (SMP) up to 32-way configurations across four MCMs, employing directory-based to track cache states and minimize in shared-memory environments. The design prioritizes low- remote access, with distant line fetches incurring approximately 200 cycles, facilitating tight integration in clustered setups. POWER4's I/O integration embodies a "server on a chip" philosophy, embedding two controllers operating at 133 MHz to provide 1 /s bandwidth each for peripheral connectivity, linked via the GX buses to Remote I/O () bridges that support 64-bit transactions at up to 500 MB/s burst rates. Each GX bus is 4 bytes wide and operates at one-third the (approximately 400 MHz bidirectionally for a 1.2 GHz ), delivering an aggregate bandwidth of about 3.2 /s bidirectional per pair for I/O transfers. The memory buffer interface supports up to 256 of through dedicated controllers with 64-entry queues and 400 MHz operation, ensuring high-throughput access for I/O-bound workloads. For scalability, the fabric and GX-based I/O extend to clustered configurations of multiple 32-way nodes, enabling large-scale distributed systems in enterprise environments. Reliability, availability, and serviceability (RAS) features are integral to the interconnect, including (ECC) protection on all links and buses to detect and correct single-bit errors while detecting double-bit errors, alongside checks for signals. Hot-plug support allows dynamic addition or removal of processors, , and I/O adapters without , complemented by mechanisms like cache line deletion for fault isolation and to preemptively correct soft errors. These elements ensure robust operation in environments, with the MCM housing the controllers to maintain physical integrity during expansions.

Specifications

Process technology and physical characteristics

The POWER4 processor was fabricated using IBM's 0.18 μm silicon-on-insulator (SOI) process technology, incorporating across seven metal layers. This process enabled high-performance characteristics through SOI's reduced and improved drive current, while wiring reduced resistance compared to aluminum alternatives. The die measures approximately 400 mm² and integrates 174 million transistors, supporting dual processor cores and on-chip caches within a compact footprint suitable for multi-chip modules (MCMs). Each POWER4 die dissipates 125 TDP at a of 1.3 GHz, reflecting the power demands of its superscalar and integrated memory subsystems. The MCM configuration, comprising four such dies along with L3 chips, totals around 1.4 billion transistors across a 5-inch-square organic substrate. Physical packaging utilizes (BGA) technology for the MCM, facilitating high-density interconnections in environments. Operating voltage ranges from 1.3 V to 1.5 V, with maximum rated at 100°C to ensure reliability under sustained loads. The POWER4+ variant advanced the design to a 0.13 μm SOI process, introducing low-k dielectrics like to minimize interconnect and enable higher frequencies. This shrink increased transistor density to 184 million on a smaller 267 mm² die, improving yield and power efficiency while maintaining compatibility with existing MCM structures.

Performance parameters

The POWER4 processor was initially released with clock speeds of 1.1 GHz and 1.3 GHz, while the POWER4+ variant increased this to up to 1.9 GHz. Each delivered a peak double-precision floating-point throughput of 5.2 GFLOPS at 1.3 GHz, enabled by two floating-point execution units per , each supporting one fused multiply-add (FMA) per for a total of four flops per . The shared L2 cache provided up to 124.8 GB/s per chip, supporting efficient data movement for dual- . The dual- chip achieved approximately 814 SPECint2000, reflecting its balanced design for commercial and scientific workloads. Power consumption for the POWER4 chip was rated at 115 W TDP for the 1.1 GHz and 125 W for the 1.3 GHz model, enabling dense multiprocessor configurations. Compared to the preceding POWER3, the POWER4 improved by a factor of three, primarily through its multicore that shared resources like the L2 cache and the adoption of silicon-on-insulator (SOI) technology to reduce leakage and enhance switching speeds. Key bandwidth metrics included over 35 GB/s for inter-processor communication via the chip-to-chip fabric and over 10 GB/s total to memory per chip, facilitating scalable up to 32 ways. latencies were optimized for low-overhead access: L1 hits at ~4 cycles for simple loads, L2 hits at 12 cycles, and remote L3 accesses exceeding 200 cycles in multi-chip modules, with overall around 340 cycles. In benchmarks, 32-way POWER4 systems achieved over 96 GFLOPS in double-precision DGEMM on large datasets, underscoring the processor's role in .

Applications and legacy

Use in IBM systems

The POWER4 processor debuted in 's high-end pSeries 690 Regatta servers in 2002, featuring configurations with up to 16 dual-core POWER4 chips for a total of 32 cores per system and scalability to 512 cores through clustering enabled by high-speed interconnects. Midrange deployments followed in 2003 with the eServer pSeries and pSeries 650 models, which utilized POWER4 processors to deliver scalable performance for departmental and workgroup computing needs. Additionally, integrated POWER4 into its BladeCenter in 2003 via JS20 blade servers, allowing up to 14 blades per chassis for high-density computing in space-constrained environments. POWER4-based systems became staples in enterprise environments, powering database workloads for and , as well as WebSphere application servers for Java-based enterprise applications. In (HPC), these systems supported scientific simulations and data-intensive tasks, including contributions to DOE projects like the ORNL , which linked 27 pSeries 690 nodes for a peak performance of 4.5 TFLOPS. The POWER4's enterprise adoption bolstered IBM's position in the Unix server market, contributing to a 30.1% share in 2003 amid overall market growth. It found particular use in for high-throughput transaction systems and in government supercomputing initiatives, such as DOE laboratories advancing . By 2005, had shipped tens of thousands of POWER4 chips, reflecting widespread deployment across and HPC sectors. These systems ran the AIX 5L operating system, which included support for logical partitioning to enable basic through the system's partition manager.

Successors and variants

The POWER4+ variant, introduced in early 2003, represented an incremental enhancement to the original POWER4, primarily through higher clock speeds ranging from 1.2 GHz to 1.9 GHz while retaining the dual-core design and 130 nm fabrication process. It supported configurations with single or dual processors in entry-level and midrange systems, such as the eServer pSeries 630, p650, and p615 models, enabling broader deployment in Unix servers for and database workloads. The POWER5 processor, announced in 2004, marked the direct successor to the POWER4 lineage, introducing significant advancements including dual cores with () support for improved throughput, fabrication on a for greater density, and a book-based () architecture to scale beyond 32-way systems. This design maintained compatibility with existing POWER4 multi-chip modules via interconnect adapters, facilitating hybrid systems during the migration to POWER5-based platforms like the p5 505 and p5 550 servers. The POWER4's innovations laid foundational groundwork for later processors, including the released in June 2007 on a , which further emphasized and per-core in enterprise servers. Its pioneering dual-core integration on a single die influenced the widespread adoption of multicore architectures across the server industry for balancing and power consumption. POWER4 reached end-of-life around 2006, coinciding with IBM's complete transition to and subsequent generations. Other variants included a single-core configuration of the POWER4 tailored for use in lower-end iSeries models, such as the iSeries Model 810, though these saw limited production focused on commercial .

References

  1. [1]
    IBM Power4 | IBM
    The dual-core processor enabled multiple programs to run simultaneously, or a single program to run faster and more efficiently. Dubbed IBM Power4 (POWER was ...
  2. [2]
    [PDF] IBM POWER4 System Microarchitecture
    In this paper, we describe the processor microarchitecture as well as the interconnection architecture employed to form systems up to a 32-way symmetric ...
  3. [3]
    [PDF] The POWER4 Processor Introduction and Tuning Guide
    “POWER4 virtual memory architecture overview” on page 54). Virtual addresses are, in turn, translated into real (physical) storage locations. Each POWER4.
  4. [4]
    IBM LAUNCHES WORLD'S MOST POWERFUL SERVER: "REGATTA"
    Oct 5, 2001 · Pricing for the eServer p690 starts at $450,000 for an 8-way 1.1 GHz system with 8 GB memory and 36.4 GB of storage. The system will begin ...
  5. [5]
    IBM flexes Power4 as it ships Regatta servers - EE Times
    By the second half of 2002, the Power4 design will be ported to a 0.13-micron process, which combines SOI, copper, and the SiLK low-k dielectric, improving die ...
  6. [6]
    COPPER AND SOI CONVERGE IN NEW Power4 CHIP - HPCwire
    Oct 8, 1999 · The chip is manufactured at IBM Microelectronics' Burlington, Vermont fabrication plant in 0.18 micron geometry, which is 1/500th finer than a ...
  7. [7]
    [PDF] Power4 Focuses on Memory Bandwidth
    Oct 6, 1999 · After years of building their own processors, IBM, HP, and others have been forced to watch as systems based on commodity Intel microprocessors ...
  8. [8]
    IBM cranks up pSeries Power 4+chip speed - The Register
    Nov 11, 2002 · ... Power4 processor that has been dramatically shrunk using a new 0.13 micron copper/SOI process. The smaller Power4+ chip uses less voltage ...
  9. [9]
    IBM's new 0.13-micron process ties copper, SOI, low-k together
    EAST FISHKILL, N.Y. -- IBM Corp. today unveiled a new 0.13-micron process technology, called CMOS 9S, which ties together the company's copper interconnects ...
  10. [10]
    IBM UNWRAPS ADVANCED CHIP-MAKING TECHNOLOGY
    Dec 15, 2000 · CMOS 9S is the only 0.13-micron technology to take advantage of the performance benefits of SOI, which dramatically improves transistor ...Missing: fabricated | Show results with:fabricated
  11. [11]
    I.B.M. Overtakes Hewlett In Server Market Share - The New York Times
    Nov 28, 2002 · Shares of I.B.M. rose $2.64, to $87.70. Hewlett shares rose 84 cents, to $19.59. A version of this article appears in print on Nov. 28, 2002 ...
  12. [12]
    Year in review: "Big iron" changes - CNET
    April 9, 2002. IBM expands use of server chip. The two-processor Power4 is the spearhead of the company's assault to regain market share lost to Sun ...<|control11|><|separator|>
  13. [13]
    [PDF] PowerPC Virtual Environment Architecture Book II Version 2.01
    This document defines the additional instructions and facilities, beyond those of the PowerPC User Instruc- tion Set Architecture, that are provided by the.
  14. [14]
    [PDF] AltiVec Technology Programming Environments Manual for Power ...
    The AltiVec Power ISA PIM defines a programming model for use with the AltiVec instruction set. • AltiVec Technology Programming Environments Manual for Power ...Missing: POWER4 | Show results with:POWER4
  15. [15]
    [PDF] IBM POWER4: a 64-bit Architecture and a new Technology to form ...
    In the following sections we will present the main features of the POWER4 processor, mainly parallelism, processors interconnection, memory hierarchy and memory.
  16. [16]
    (PDF) An advanced multichip module (MCM) for high-performance ...
    This MCM supports four POWER4 chips, each with 170 million transistors, which utilize the IBM advanced copper back-end interconnect technology. Each chip is ...
  17. [17]
    [PDF] POWER4 system microarchitecture - SAFARI Research Group
    The IBM POWER4 is a new microprocessor organized in a system structure that includes new technology to form systems. The name. POWER4 as used in this ...
  18. [18]
    [PDF] Best New Technology: POWER4 - CECS
    Feb 7, 2000 · Each POWER4 chip implements 174 million transistors, which occupy about 400 mm2 in IBM's seven-layer-copper 0.18-micron. CMOS-8S2SOI process.
  19. [19]
    [PDF] Processors Penetrate Gigahertz Territory - CECS
    Feb 28, 2000 · The MCM houses. 1.4 billion transistors on a 5-inch-square substrate, and it ... being applied to IBM's next-generation POWER4 proces- sor ...
  20. [20]
    [PDF] The POWER of 6 - IBM
    POWER4. 414 mm2. 1.1 – 1.3 GHz. POWER4+. 267 mm2. 1.5 – 1.9 GHz. POWER5. 389 mm2. 1.65 – 1.9 GHz. POWER5+. 245 mm2. 1.9 – 2.3 GHz. POWER6. 341 mm2. 3.5 – 5.0 ...
  21. [21]
    [PDF] Redbooks Paper
    The p690 system uses four. 8-way multi-chip modules (MCM), which have a full complement of L2 and L3 caches, and is optimized for scientific and technical ...Missing: details | Show results with:details
  22. [22]
    IBM Corporation IBM eServer pSeries 690 Turbo (1700 MHz, 1 CPU)
    CFP2000 Result ; 64KBI+32KBD (on chip) per core · 1536KB unified (on chip) per chip · 128MB unified (off-chip) per MCM, 4 MCMs in SUT · None.Missing: SPECint2000 score
  23. [23]
    Performance Per Watt on Power6: Same Thermals, More Work
    Aug 13, 2007 · The data is a bit sparse, but the original Power4 chips, which ran at 1.1 GHz and 1.3 GHz had a TDP of 115 watts and 125 watts, respectively.Missing: POWER3 | Show results with:POWER3
  24. [24]
    [PDF] TPC BENCHMARK™C
    Feb 11, 2010 · The performance metric for this benchmark is expressed in transactions-per-minute-C (tpmC). To be compliant with the TPC-C standard, all ...Missing: POWER4 | Show results with:POWER4
  25. [25]
    Purple - Advanced Simulation and Computing
    Purple was the 100-teraflops (1.0×1014 or one hundred trillion floating- point operations per second) system. It was a genuinely huge machine based on symmetric ...Missing: POWER4 C
  26. [26]
    [PDF] IBM pSeries 690
    IBM ^ pSeries 690 at a glance. Standard configuration. Microprocessor: 8-way SMP; 1.1 GHz POWER4 (1x8-way MCM). RAM (memory):. 8GB. Internal disk drive: Two ...
  27. [27]
    NEW IBM SOFTWARE COMBINES WEBSPHERE + LINUX + ...
    Jul 11, 2003 · IBM's POWER4 microprocessors offer industry-leading performance with as few as half the microprocessors of competing systems. Running Linux ...Missing: development | Show results with:development<|control11|><|separator|>
  28. [28]
    ORNL computer 8th fastest in world
    Jun 26, 2002 · In November 2001, the Department of Energy's ORNL had the 29th fastest computer. ORNL's Cheetah, an IBM Power4 System linking 27 p690 units, ...
  29. [29]
    IBM Extends Lead In Server Market Share - HPCwire
    Mar 7, 2003 · IBM announced that the company advanced its worldwide market share lead in the server industry to 36.2 percent, gaining over 2 points of share ...Missing: regains | Show results with:regains
  30. [30]
    IBM's Power4 Chip Will Fight Disease First - HPCwire
    IBM Corp. announced the first hardware built around its next-generation Power4 chip, signing on to deliver one of the world's ...Missing: Yorktown Heights
  31. [31]
    Industry Trends: Chip Makers Turn to Multicore Processors
    Industry Trends: Chip Makers Turn to Multicore Processors. May 2005, pp ... IBM released the industry's first dual-core server chip, the Power 4, in 2001.
  32. [32]
    [PDF] AIX 5L Differences Guide - Version 5.3 Edition - IBM Redbooks
    Virtualization. 5. 1.2 Introduction to the POWER Hypervisor. The POWER Hypervisor is an essential element of the IBM Virtualization Engine system technologies ...
  33. [33]
    IBM LAUNCHES POWERFUL ENTRY LEVEL WEB SERVER
    February 28, 2003. COMMERCIAL NEWS. IBM announced its next-generation POWER4+ microprocessor which is now available on the IBM eServer pSeries 630, ...
  34. [34]
    IBM POWER4 - NetLib.org
    The clock frequency of the POWER4+ is 1.5--1.9 GHz. It is a boost of the original POWER4 chip and has no new features other than the higher clock frequency.
  35. [35]
    IBM Arms p630 Server with Power4+ Chips | Internet News
    Feb 21, 2003 · IBM plans soon to release a new version of its pSeries 630 that is powered with its most powerful chip to date, the Power4+ processor.
  36. [36]
    IBM debuts entry pSeries 615 server with Power4+ chip - Tech Monitor
    May 27, 2003 · All Power4 and Power4+ processors are dual core chips with a shared L2 cache memory on the chip. IBM just rolled out the 1.5GHz and 1.7GHz ...<|control11|><|separator|>
  37. [37]
    [PDF] IBM power5 chip: a dual-core multithreaded processor - Micro, IEEE
    IBM implemented coarse-grained multithreading in the IBM ... Power4+ chips are similar in design to the Power4 ... a 90-nm lithography fabrication process, which ...
  38. [38]
  39. [39]
    IBM i History and Timeline | Fortra
    Feb 19, 2024 · The roadmap for IBM i continues into 2032 and beyond, according to Steve Will, chief architect of the IBM i operating system at IBM, which is ...<|control11|><|separator|>
  40. [40]
    The Plus Things Change, The Plus Things Stay The Same - IT Jungle
    Oct 23, 2023 · The Power4+ was a shrink to 130 nanometers and a boost in clock speed from 1.1 GHz with the Power4 chip to 1.3 GHz to the Power4+ chip.Missing: improvements | Show results with:improvements
  41. [41]
    [PDF] IBM System i Overview: Models 515, 525, 550, 570, 595, and More
    Jul 7, 2008 · This edition applies to IBM System i5 models running IBM i5/OS V5R3 and i5/OS V5R4. This document created or updated on 07.07.2008. Note: Before ...