Fact-checked by Grok 2 weeks ago

PowerPC 600

The PowerPC 600 series is a family of 32-bit reduced instruction set computing (RISC) microprocessors developed collaboratively by the —comprising , , and —and introduced in 1993 with the PowerPC 601 as its inaugural model. This series represents the first generation of the PowerPC architecture, derived from IBM's design, and emphasizes superscalar execution for high performance in personal computers, workstations, and embedded applications. Key characteristics include bi-endian byte ordering (natively big-endian with little-endian support), a (with the 601 featuring a unified and later models separate instruction and data caches), and compatibility with both uniprocessor and systems. The development of the PowerPC 600 series stemmed from a 1991 alliance announcement aimed at creating an open RISC standard to succeed Motorola's 68k processors in Apple systems and expand into broader markets. In May 1992, the in , was established as the primary hub for joint engineering efforts, focusing on bridging IBM's existing architecture with a new, scalable RISC platform. The series achieved binary compatibility across models, supporting operating systems such as AIX, , , and early versions of Mac OS, while prioritizing reduced power consumption and execution efficiency through features like dynamic and units with block address translation. Prominent processors in the series include the PowerPC 601, a transitional 32-bit chip with 64-bit extensions; the low-power PowerPC 603 and its enhanced 603e variant; the high-end PowerPC 604 and 604e; and the 64-bit PowerPC 620, introduced in 1996. The PowerPC 600 series powered early Apple Power Macintosh systems, such as the 6100 with the 601 and the 9500 with the 604, alongside IBM's RS/6000 workstations and ThinkPad Power Series 800 laptops using the 603. It also found applications in servers, scientific computing, and multimedia environments, contributing to the architecture's reputation for balancing performance and power efficiency before evolving into subsequent generations like the 7xx series.

Introduction and History

Overview of the PowerPC 600 Family

The PowerPC 600 family comprises the inaugural generation of microprocessors designed to implement the (ISA), a reduced instruction set computing (RISC) framework developed collaboratively by , , and Apple. These processors are predominantly 32-bit implementations, with the exception of the 64-bit PowerPC 620 model, enabling efficient execution of load/store operations, superscalar processing, and support for both and floating-point computations in a unified architecture. The ISA emphasizes compatibility, performance, and scalability for general-purpose , drawing from established RISC principles to facilitate across diverse platforms. This family evolved from IBM's POWER architecture, used in high-performance systems like the RS/6000, and incorporated elements from Motorola's 88000 RISC design, unifying core features such as branch prediction, register files, and to bridge proprietary ecosystems into a standardized, open-compatible . Key models in the series include the PowerPC 601, released in 1993 as the initial implementation; the PowerPC 603 and 604, introduced in 1994 to address low- and high-end needs, respectively; and the PowerPC 620, which entered production in 1997 as the first 64-bit offering. Across the family, clock speeds varied from 50 MHz in early 601 variants to up to 300 MHz in later 603 derivatives and 400 MHz in 604 derivatives, while power consumption spanned approximately 1 W for efficient -oriented models like the 603 to 30 W in higher-performance configurations such as the 604. Targeted at desktops for workstations, servers for , and systems for portable and low-power applications, the PowerPC 600 series established a versatile foundation for the architecture's adoption in personal and beyond.

Development by the AIM Alliance

The was formed in 1991 by Apple, , and to develop a new family of reduced instruction set computing (RISC) microprocessors capable of challenging the dominance of Intel's x86 in personal computing, workstations, and embedded systems. The partnership aimed to create a common platform that leveraged 's existing POWER architecture while incorporating 's manufacturing expertise and Apple's focus on user-centric system design. This collaboration marked a significant shift, as the three companies—previously competitors in various segments—pooled resources to accelerate innovation and reduce development costs in a rapidly evolving . The core design work for the PowerPC 600 family took place at the Somerset Design Center, a jointly funded facility established by and in , in 1991. Engineers from all three alliance members collaborated there, with contributing the foundational elements derived from its RISC technology, Motorola handling much of the fabrication and integration, and Apple providing insights into system-level optimization for consumer applications. The process emphasized modularity to support both 32-bit and 64-bit implementations, drawing on shared to streamline the transition from to . This integrated approach allowed for rapid , though coordinating across corporate boundaries required careful management of technologies and timelines. Key milestones included the delivery of the first PowerPC 601 silicon on October 28, 1992, which integrated integer, floating-point, branch, and units on a single chip. Initial shipments of the 601 began in 1993, enabling the debut of systems like Apple's 6100 in March 1994. However, the alliance faced notable challenges, particularly with the more ambitious 64-bit PowerPC 620, whose development was delayed from an initial 1994 target to 1997 due to resource constraints at and the inherent complexities of full 64-bit addressing and execution. These setbacks were compounded by intensifying competition from Intel's processors, which rapidly scaled clock speeds and market availability, pressuring the alliance to balance high performance with low power consumption and cost-effective .

Architectural Features

Core RISC Design and Instruction Set

The PowerPC 600 family adheres to core Reduced Instruction Set Computing (RISC) principles, employing a where arithmetic and logical operations occur exclusively between , while data transfers to and from memory are handled by dedicated load and store instructions. All instructions are fixed-length at 32 bits, facilitating efficient decoding and pipelining, and follow a three-operand format (e.g., source A, source B, destination) for most operations to reduce register dependencies and enhance optimization. The architecture defaults to big-endian byte ordering, with the most significant byte stored at the lowest address, though bi-endian support allows runtime switching to little-endian mode via the Machine State Register (MSR). The (ISA), implemented across the 600 family, draws from the architecture and , blending their strengths in superscalar execution and register-rich designs while simplifying for broader applicability. It features 32 general-purpose registers (GPRs), each 32 bits wide in 32-bit mode, for operations and addressing, alongside 32 floating-point registers (FPRs), each 64 bits wide, supporting single- and double-precision scalar . Scalar operations include (add), multiplication (mulld), logical AND/OR (and, or), and shifts (sld), all executed via the fixed-point unit, while floating-point instructions like fadd and fmul handle arithmetic in the FPRs with rounding modes defined in the Floating-Point Status and Control Register (FPSCR). Load/store instructions, such as lwz (load word and zero) and stw (store word), manage memory access using GPRs for effective address calculation. The User (UISA) forms the foundation, defining the base instructions and registers accessible in user mode (problem state) for application-level execution, including scalar integer and floating-point operations, branches (b, bc), and calls (sc). The (VEA) extends UISA by adding user-level support for , including management instructions like dcbf (data block flush) and icbi (instruction block invalidate), as well as primitives (eieio, isync) to ensure and ordered execution across multi-processor environments. VEA also provides access to the Time Base registers (TBL, TBU) via mftb for timing, enabling virtual addressing through mechanisms like Translation Lookaside Buffers (TLBs) managed by instructions such as tlbie (TLB invalidate entry).

Pipeline, Execution Units, and Caches

The PowerPC 600 family processors employ a superscalar RISC design that enables parallel instruction processing to enhance while maintaining compatibility with the PowerPC . The typically consists of 4 to 6 stages, including fetch, decode/dispatch, execute, complete, and writeback, allowing for in most models while ensuring in-order completion to simplify . For instance, the PowerPC 601 features a that issues and retires up to three instructions per clock across its , floating-point, and units. Higher-end models like the 604 extend this to a 6-stage capable of issuing up to four instructions and executing up to six in parallel, incorporating advanced dispatch and completion stages for greater throughput. Branch prediction in the family relies on static methods, such as always-taken or always-not-taken heuristics implemented in the processing unit, which resolves branches with minimal penalty—often zero s for predicted paths. Execution units in the PowerPC 600 series are organized to handle arithmetic, floating-point operations, access, and in parallel, with the exact configuration varying by model to balance performance and die area. Core units include one or more units (IUs) for ALU operations and generation, a (FPU) supporting fused multiply-add instructions compliant with , a load/store (LSU) for data movement, and a processing (BPU) for conditional execution. The 603, for example, integrates five execution units: a single IU for most single-cycle operations, a pipelined FPU with dedicated multiply and add stages, an LSU supporting speculative loads at one per cycle, a BPU with static , and a system register for condition register and special-purpose register management. In contrast, the 604 employs six units, including two single-cycle IUs, one multi-cycle IU for and , a fully pipelined FPU with 3-cycle , an LSU, and a BPU, enabling up to six parallel operations. The 620 advances this further with supported by reservation stations, featuring dual units, a complex unit, an FPU, LSU, and BPU, issuing up to four . Across the family, these units share access to 32 general-purpose registers and 32 floating-point registers, with load/store operations serialized through the LSU to maintain . The in the PowerPC 600 family emphasizes on-chip level-1 (L1) caches in a , with and caches to high-bandwidth access without contention. L1 caches are physically addressed, set-associative, and typically range from 8 to 32 per type, using 32-byte lines and least-recently-used (LRU) replacement policies. The 601 implements a unified 32 L1 cache that serves both instructions and data, organized as eight-way set-associative with a 64-byte line size and for the MESI coherency protocol via a dedicated snoop port. Subsequent models like the 603 adopt separate 8 two-way set-associative L1 and caches, both write-back configurable with MEI coherency for multiprocessor environments. The 604 doubles this to 16 four-way set-associative L1 caches, also with 32-byte lines and MESI , while the 620 uses 32 eight-way L1 caches of the same design. Level-2 (L2) caching is optional and off-chip, often implemented as external unified caches connected via the processor's bus, with no on-chip L3 in the 600 series; for example, the 620 supports up to 128 MB external L2 at half or full CPU speed. Power management features in the PowerPC 600 family focus on reducing , particularly in portable-oriented designs like the 603, through dynamic and software-controlled mechanisms without compromising core performance. The 603 includes four power-saving modes: a dynamic mode where idle functional units automatically enter low-power states, and three software-programmable modes—doze (clocks only CPU core and caches), nap (stops internal clocks except for time base and external access), and (powers down most of the chip except for a wake-up ). Clock throttling is supported in variants like the 603e via configurable bus modes that adjust frequency dynamically, enabling low-power operation at reduced speeds while maintaining full-speed bursts. These features collectively allow the family to achieve low dissipation, such as 2.2 W at 80 MHz in the 603, by gating clocks to unused units and pipelines.

Nuclear Family Processors

PowerPC 601

The PowerPC 601 is the inaugural 32-bit superscalar RISC microprocessor in the PowerPC 600 family, designed by the AIM alliance of Apple, IBM, and Motorola. It features a four-stage pipeline for the integer unit, consisting of fetch, dispatch/decode, execute, and write-back stages, enabling out-of-order execution and dynamic scheduling of up to three instructions per clock cycle. The processor includes three primary execution units: two integer units (one general integer unit for arithmetic and logical operations, and a branch processing unit for conditional branches with static prediction) and a pipelined floating-point unit compliant with IEEE 754 standards for single- and double-precision operations. An integrated memory management unit (MMU) is also incorporated, featuring a 256-entry unified translation lookaside buffer (UTLB) that is 2-way set-associative, along with a separate 4-entry instruction translation lookaside buffer (ITLB), supporting 4-KB pages and block address translation (BAT) arrays for segments from 128 KB to 8 MB. Performance characteristics of the PowerPC 601 vary by clock speed, with initial models operating at 50 MHz and later revisions reaching up to 120 MHz, while select versions achieved 135 MHz through refinements. Representative benchmarks at 66 MHz include an estimated SPECint92 score of 60 for performance and SPECfp92 score of 80 for floating-point , scaling roughly linearly with frequency to approximately 105 SPECint92 and 125 SPECfp92 at 100 MHz. The processor incorporates a 32 unified Level 1 (L1) cache that is 8-way set-associative, physically addressed, and configurable for write-back or write-through policies, providing balanced support for both and accesses without separate I-cache and D-cache partitions. The PowerPC 601 integrates with the 60x bus, a split-transaction featuring a 32-bit address bus and 64-bit data bus, operating at a 1:1 clock ratio with the processor core to facilitate efficient single-beat (1-8 bytes) and burst (up to 32 bytes) transfers. This bus design supports centralized for multiple masters and enables addressing up to 256 MB of in typical system configurations, including memory-mapped I/O and external interfaces. In 1995, an updated variant known as the PowerPC 601v (or 601+) was introduced, featuring manufacturing improvements for higher yields, a slightly smaller die size, and clock speeds bumped to 90-135 MHz, while maintaining compatibility with the original architecture and bus interface.

PowerPC 603 and Variants

The PowerPC 603 is a 32-bit superscalar RISC microprocessor designed for low-power applications, featuring a four-stage pipeline consisting of fetch, dispatch, execute, and complete/writeback stages. It integrates five execution units: an integer unit capable of dual-issue for simple operations, a floating-point unit, a branch processing unit, a load/store unit, and a system register unit, enabling out-of-order execution and up to three instructions issued or retired per clock cycle. The core includes separate 8 KB instruction and 8 KB data L1 caches, both two-way set-associative with 32-byte line sizes and physically addressed. Performance characteristics of the PowerPC 603 emphasize for portable systems, with clock speeds ranging from 40 MHz to 80 MHz in initial implementations and power consumption typically between 1.4 and 2.5 at full operation, dropping to 66–200 mW in doze, , and modes through dynamic and unit shutdown. The design prioritizes uniprocessor use without native (SMP) hardware, relying on software synchronization for any multi-processor configurations via a modified MESI coherency . Key variants evolved from the 603 to address embedded and portable needs. The PowerPC 603e and 603ev, introduced between and 1998, enhanced the core with doubled L1 to 16 KB each (four-way set-associative), an integrated L2 controller, and support for clock speeds up to 300 MHz while maintaining low power through 3.3 V operation and advanced power modes. The core, a 1998 derivative of the 603e developed by (later Freescale), integrated 256–512 KB of on-chip L2 for improved performance in system-on-chip designs, targeting applications with frequencies up to 400 MHz. In the , the e300 core family extended the lineage as an variant, incorporating Book E extensions for processing, variable-length pipelines, and scalability up to 667 MHz in 130 nm processes, used in PowerQUICC II/III communications processors. One limitation of the PowerPC 603 family in Macintosh systems was its handling of legacy Motorola 68k software, which relied on software-based emulation; the small 8 KB L1 caches in early models caused frequent cache misses for the emulator code, resulting in poor performance compared to native PowerPC applications or hardware-assisted modes in prior processors. Later variants like the 603e mitigated this somewhat with larger caches, but emulation remained a bottleneck for 68k-heavy workloads.

PowerPC 604 and Variants

The PowerPC 604 is a 32-bit superscalar RISC microprocessor designed for high-performance desktop and server applications, featuring a six-stage pipeline consisting of fetch, decode, dispatch, execute, complete, and writeback stages. It supports quad-issue dispatch, allowing up to four instructions per cycle, with up to six instructions completing in parallel due to its out-of-order execution capabilities. The core includes six parallel execution units: three integer units (two single-cycle for basic arithmetic and logical operations, and one multiple-cycle for division and multiplication), two floating-point units compliant with IEEE 754 for single- and double-precision operations, and one load/store unit with a dedicated address generation adder. On-chip L1 caches are 16 KB each for instructions and data, both four-way set-associative with 32-byte blocks and least-recently-used replacement. Initial implementations operated at clock speeds of 100–133 MHz, with power consumption ranging from 19 at 100 MHz to 24 at 133 MHz, drawing 3.3 V. Manufactured on a 0.5 μm CMOS process by and , the 604 integrates 3.6 million transistors in a 196 mm² die. It provides full () support through hardware-enforced MESI cache coherency, snooping logic, and atomic operations, enabling configurations up to eight processors. The PowerPC 604e, introduced in 1996, enhanced performance with a 25% faster dispatch rate and doubled L1 sizes to 32 KB each for instructions and , while adding a dedicated condition register logical unit and three write buffers for improved memory handling. It supported clock speeds up to 350 MHz on a 0.35 μm process, with power around 22 W at 200 MHz and 2.5 V core voltage. Additional processor-to-bus clock ratios (such as 5:2 and 4:1) enabled higher frequencies without increasing bus speeds. The 604ev, codenamed "" and released in 1997, further optimized the 604e design with an integrated L2 cache controller, data streaming mode for faster memory access, and reduced power consumption through low-power modes. Produced on a 0.25 μm process by , it achieved speeds of 300–400 MHz with approximately 20 W dissipation at 350 MHz, maintaining SMP compatibility up to eight ways. These variants collectively addressed demanding workloads in environments while sharing the core architectural principles of the 604 family.
VariantIntroduction YearProcess NodeMax Clock SpeedL1 Cache Size (I/D)Key Enhancements
60419940.5 μm133 MHz16 KB / 16 KBBaseline quad-issue, 6 execution units, 8-way
604e19960.35 μm350 MHz32 KB / 32 KBFaster dispatch, added CRU, extra write buffers
604ev (Mach 5)19970.25 μm400 MHz32 KB / 32 KBIntegrated controller, data streaming, power modes

PowerPC 620

The PowerPC 620 is a 64-bit superscalar reduced instruction set computing (RISC) developed jointly by , , and Apple as part of the AIM alliance's effort to extend the PowerPC architecture into high-end and markets. It features a full 64-bit implementation, including 64-bit integer and floating-point registers, as well as complete 64-bit addressing and data paths supporting up to 2^36 addressable segments of 2^28 bytes each. The core employs a five-stage with a predecode unit, enabling the issuance of up to four instructions per cycle, and incorporates via reservation stations for improved efficiency. Execution units include three integer pipelines—two simple single-cycle units and one complex multicycle unit for operations like and —a dedicated unit, a load/store unit, and a dual-precision (FPU). On-chip caches consist of separate 32 KB instruction and 32 KB data caches, both eight-way set-associative, with an integrated controller supporting external caches from 1 to 128 via a 128-bit bus. Fabricated on a 0.5-micron process with 6.88 million transistors, the design emphasized scalability for (SMP) configurations, including glueless support for up to four processors. Performance targets for the PowerPC 620 positioned it as a competitor to contemporary 64-bit processors like the 21164, with initial clock speeds of 133 MHz delivering estimated SPECint92 scores of 225 and SPECfp92 scores of 300—roughly 40% higher performance and nearly double the floating-point throughput compared to a 100 MHz PowerPC 604. Later sampling versions reached 180–200 MHz, enabling clustered systems up to eight-way, though real-world 32-bit application performance often lagged behind optimized 32-bit PowerPC 604e variants due to architectural differences such as prediction complexities. The architecture's two-phase prediction, using a 256-entry target (BTAC) and a 2,048-entry history table (BHT) achieving about 90% accuracy, helped mitigate some stalls, but overall scalar execution remained a relative weakness against wider-issue designs like the Alpha 21164, which at 300 MHz offered approximately 50% higher performance in comparable benchmarks. Power consumption was rated at 30 W maximum, with the large die size (311 mm²) contributing to higher manufacturing costs estimated at $380 per unit. Development of the PowerPC 620 began in the early at the Somerset design center but faced significant delays due to its architectural complexity, including advanced and large on-chip caches, which strained the initial 0.5-micron process yields. First publicly detailed at the Microprocessor Forum in October 1994, working silicon emerged in the second half of 1996, with commercial availability limited to sampling and low-volume production starting in 1997—over two years later than the original 1995 target. These setbacks stemmed from integration challenges in the distributed multi-entry and the need for process refinements, ultimately limiting widespread adoption despite the alliance's substantial investment in the 64-bit extension. The PowerPC 620 saw primarily niche deployment in Bull's Escala server lineup, such as the Escala E620 and T620 models, where it powered 1- to 2-way configurations at 180 MHz with up to 3 GB of and integrated support for and numeric workloads via a 128-bit and 2.8 GB/s peak memory throughput. These systems targeted Unix-based enterprise applications, including clustered setups with storage and high I/O , but production remained constrained due to the processor's high cost and limited ecosystem support. ultimately shifted focus to its proprietary RS64 (later rebranded as POWER3) processors derived from AS/400 developments, citing better market fit for transaction-oriented servers and abandoning further PowerPC 620 investment in favor of more aligned 64-bit solutions.

Extended Family and Derivatives

PowerPC 602

The PowerPC 602 is a 32-bit microprocessor developed jointly by IBM and Motorola as a low-power, cost-optimized variant within the PowerPC 600 family, specifically tailored for embedded and consumer multimedia applications. Announced in February 1995, it represents a stripped-down derivative of the PowerPC 603 architecture, with features removed to minimize die size, power consumption, and manufacturing costs while retaining core RISC capabilities suitable for graphics-intensive tasks. Architecturally, the PowerPC 602 employs a simple scalar design capable of issuing one per through a four-stage , supported by a four-entry for and folding to streamline execution. It includes reduced execution units: a single integer unit with fast multiply capabilities (1-cycle for byte-by-word operations, aiding graphics and compression), a unit, a load/store unit with 1-cycle store latency, and a single-precision floating-point unit (FPU) supporting multiply-accumulate in one but lacking double-precision support. On-chip caches are halved from the 603 to 4 KB each for instructions and data (two-way set associative), paired with 32-entry TLBs for virtual memory handling in a simplified "protection-only" mode that omits a full memory management unit (MMU) to further cut costs for embedded use. The processor interfaces via a 64-bit multiplexed data bus (32-bit address), operates at clock speeds of 50-80 MHz (typically 66 MHz), and consumes 1.2 W at 3.3 V, with power-saving modes like doze, nap, and sleep enabling standby draw as low as 2 mW; fabricated on a 0.65-micron CMOS process, it contains 1 million transistors across a 50 mm² die and uses a 144-pin PQFP package. Unique to its multimedia focus, the PowerPC 602 incorporates optimizations such as logarithmic arithmetic support for digital signal processing (e.g., speech recognition and audio effects) and hit-under-miss cache handling, which sustains performance during refills by processing subsequent instructions—a 3% overall boost in graphics workloads. Performance benchmarks at 66 MHz yield a SPECint92 score of 40 without L2 cache, positioning it for real-time 3D rendering and vector operations in consumer devices, though without integrated graphics or audio hardware—these were handled by external ASICs in target systems. Initially priced around $50 for low-volume and $30 in high-volume, it targeted applications like set-top boxes, PDAs, and game consoles. Developed primarily for The 3DO Company's console (later ), the PowerPC 602 was selected as the dual-CPU core (two 66 MHz units) for the system's 3D graphics generation and processing, with volume shipments planned for late 1995 to support a sub-$400 platform. However, the project was canceled in 1997 amid intensifying competition from consoles like the , resulting in only limited prototype production of the 602 for evaluation and development kits, preventing any mass-market deployment.

Other Specialized and Canceled Variants

The PowerPC 600 family included several specialized variants that were either prototypes, short-lived developments, or outright cancellations, often driven by efforts to integrate multiple instruction sets, reduce costs, or target niche markets like embedded systems and servers. These projects reflected the alliance's exploratory phase but were frequently abandoned amid shifting priorities, rapid technological advancements, and competitive pressures within the partnership. The PowerPC 603q, developed by Quantum Effect Devices () in 1996 as a low-cost derivative of the PowerPC 603, featured a five-stage RISC without superscalar execution or , emphasizing for budget-conscious applications. Fabricated on a 0.5 μm process with a 69 mm² die containing 3 million transistors, it operated at 160 MHz while consuming just 1.6 W, supported by 16 KB instruction and data caches using QED's efficient 6T cells. Intended for low-end desktops and high-end systems, the chip achieved about 70% of the 603e's per clock but offered a simplified to cut costs. Despite taping out and receiving first , the 603q was canceled shortly after completion when its primary customer—likely —withdrew support, amid Apple's struggles in the low-end market and accelerating improvements in mainstream PowerPC speeds from and . Another experimental design, the PowerPC 615, emerged from in 1994 as a dual-ISA integrating a PowerPC 604 with x86 to bridge the dominant PC . This chip incorporated hardware-based x86 , potentially via a dedicated 486-like integer unit or pipeline adaptations, enabling it to fit Pentium sockets and deliver performance comparable to high-end s or 604s when operating in single mode. In dual mode, it supported both x86 and PowerPC instruction sets through an x86 decoder that translated CISC instructions to RISC, though full performance required booting in one mode exclusively; it targeted mainstream PCs with special versions of and , but not Mac OS. Announced for a debut with variations under exploration, the 615 never reached commercialization due to its complex "fairly big die" increasing costs and the challenges of balancing efficiency against native performance. The PowerPC 613, internally codenamed "," began as an evolutionary successor to the power-efficient 603e, aiming to optimize for real-world software workloads rather than synthetic benchmarks. Developed jointly by and as a third-generation PowerPC, it incorporated advancements like a 0.29 μm process to enable clock speeds from 200 MHz upward. However, the project was renamed to PowerPC 750 (and collectively the family) before release in 1997, aligning with Apple's marketing and distinguishing it from competitors like Exponential Technology's designs. Server-oriented efforts included the PowerPC 614 and 625, which were early designations for what became IBM's RS64 family, focusing on 64-bit scalability for RS/6000 and AS/400 systems. These designs emphasized high-bandwidth interfaces and multiprocessor support but were rebranded as RS64 to separate architectures from the broader PowerPC line, eventually influencing the POWER3 through merged 64-bit PowerPC elements. The names 614 and 625 were not used for final products, reflecting IBM's pivot toward proprietary optimizations. IBM's internal experiments also produced the PowerPC 630 and 641 prototypes in the mid-1990s, targeted at high-end servers like the AS/400 but never released commercially. The 630, planned for deployment by at least 1996, incorporated advanced features for mid-range computing but failed during development in IBM's Austin labs, contributing to the consolidation of Power work there. Similarly obscure, the 641 represented further exploratory work on scalable RISC designs, abandoned as priorities shifted toward the lineage and away from pure PowerPC extensions. These unproduced variants underscored the alliance's challenges in balancing innovation with market viability.

Bus Interfaces and Evolutions

60x Bus

The 60x bus, also known as the PowerPC 60x microprocessor bus interface, serves as the primary system interface for early 600 family processors, featuring a 32-bit bus and a 64-bit bus in standard configuration. It employs a split-transaction that separates and phases, enabling pipelined operations to improve efficiency, and operates synchronously with the processor or system clock, with signals sampled and driven on the rising edge of the bus clock. Burst transfers are supported, typically consisting of four data beats for 256 bits (32 bytes) aligned on 8-word boundaries, though single-beat transfers are also possible; a 32-bit bus mode with up to eight-beat bursts is optionally available on the PowerPC 603. Derived from the Motorola 88110 microprocessor bus, the 60x interface was formalized and standardized in 1997 by IBM and Motorola to provide a consistent hardware reference platform for 32-bit PowerPC implementations. It saw widespread adoption in the PowerPC 601, 603, and 604 processors, powering systems such as Apple's early computers and IBM's RS/6000 workstations. The bus supports clock speeds typically exceeding 66 MHz, with theoretical peak bandwidth reaching approximately 800 MB/s at 100 MHz due to the 64-bit data width and one data transfer per clock cycle during bursts. Key features include provisions for multiprocessor configurations through external arbitration signals such as (bus request) and (bus grant), allowing centralized control of bus access among multiple agents. Error detection is integrated via bits—four for the address bus ([0:3]) and eight for the data bus ([0:7])—using odd to identify transmission errors. However, the bus lacks native 64-bit ing, confining the physical space to 4 GB and necessitating later evolutions for broader memory support in subsequent PowerPC designs.

Advanced Buses: 6XX, MX, and Beyond

The 6XX bus evolved from the foundational 60x bus to accommodate higher operating frequencies in advanced members of the PowerPC 600 family, including the 604e and 620 processors. It maintains backward compatibility with the 60x bus while introducing optimizations like additional clock ratio configurations (e.g., 5:2 and 4:1 processor-to-bus ratios) and the No-DRTRY mode, which reduces read latency by eliminating unnecessary retry signals. In the PowerPC 620, the 6XX bus is a 64-bit extension of the 60x design, featuring a 64-bit address bus and 128-bit data bus to support full 64-bit addressing beyond 4 GB. Key specifications include support for split-transaction protocols, address pipelining, and data streaming, which minimize in refills and snooping operations. The bus enforces MESI (Modified/Exclusive/Shared/Invalid) coherency via on-chip logic, allowing glueless configurations for up to four processors without external controllers. The MX bus, also known as the 6XX-MX bus, was used in later PowerPC-based systems such as IBM's RS/6000 and pSeries workstations (e.g., 43P Model 260 with POWER3 processors). Operating at 66 MHz with a 64-bit data path, it delivers peak throughput of 528 MB/s while supporting burst transfers and pipelined operations for I/O bridging to . Plans for successors to the PowerPC 620 envisioned scalable 64-bit coherent buses to extend capabilities beyond four processors, with provisions for higher frequencies and dedicated cache interfaces to further reduce latency. However, these concepts transitioned into the PowerQUICC line for networking and the buses of the 700 series, such as those in derivatives, emphasizing and frequency scaling over pure 600-family extensions.

Applications and Legacy

Use in Personal Computing and Workstations

The PowerPC 600 series processors played a central role in Apple's shift from the architecture to RISC-based in personal computers during the mid-1990s. The inaugural lineup, launched on March 14, 1994, integrated the PowerPC 601 at clock speeds of 60 to 80 MHz in models such as the 6100, 7100, and 8100, which supported seamless emulation of legacy 68k software through Apple's while delivering enhanced performance for native applications. By enabling this architectural transition, the 601 facilitated the evolution of the Macintosh platform toward higher efficiency and scalability in consumer desktops. Apple expanded the PowerPC 603 into more budget-oriented Performa models starting in April 1995, including the Power Macintosh 5200 and 6200 series, which operated at 75 to 100 MHz and prioritized power efficiency for entry-level personal computing. These systems maintained compatibility with the growing of PowerPC-native software, broadening access to advanced features like processing in everyday use. For professional workstations, Apple adopted the higher-performance PowerPC 604 in the Power Macintosh 9500 series from mid-1995, with configurations reaching 120 to 200 MHz, supporting demanding tasks in and applications. IBM deployed PowerPC 600 processors extensively in its RS/6000 family of UNIX-based workstations and entry-level servers, beginning with the PowerPC 601 in models like the 40P introduced in 1994, which ran at up to 80 MHz and targeted technical computing environments. The lineup advanced to PowerPC 604 implementations in 1995, as seen in the 43P series at 100 to 133 MHz, enhancing multiprocessor scalability for scientific and database workloads in professional settings. The 64-bit PowerPC 620 found niche application in Bull's Escala servers, such as the Tower PL and T series from the late 1990s, where it supported symmetric multiprocessing configurations for high-end UNIX enterprise tasks under limited production. The Common Hardware Reference Platform (CHRP) specification, ratified in 1995 by Apple, , and , promoted interoperability across PowerPC-based desktops and workstations from multiple manufacturers, standardizing firmware and bus interfaces to foster a broader ecosystem. In terms of performance, PowerPC 600 processors delivered competitive results against contemporary Pentium chips in 32-bit integer workloads, with the PowerPC 604 at 100 MHz achieving SPECint92 scores approximately 1.5 to 2 times higher than the Pentium at similar speeds, underscoring their viability for desktop productivity and workstation applications.

Embedded Systems and Specialized Uses

The PowerPC 603 and 603e variants found significant application in portable computing devices during the mid-1990s, particularly in Apple's lineup, where their low power consumption—typically under 5 watts—enabled battery-efficient operation in mobile environments. The series, introduced in 1995, utilized the 603e at 100 MHz, marking one of the earliest integrations of the processor in laptops. Subsequent models, such as the series released in 1996 and discontinued in 1997, featured the 603e at speeds up to 133 MHz, while the , launched in February 1997, pushed the processor to 180, 200, or 240 MHz, making it one of the fastest portables of its era with a 64-bit data path and architecture. In applications, the radiation-hardened PowerPC 603e variant operated at 200 MHz within the , with each of the 66 satellites (launched between 1997 and 1998) incorporating seven such processors connected via a custom for onboard processing tasks like signal routing and . This deployment highlighted the processor's reliability in harsh, power-constrained orbital environments, where the 603e's superscalar design and integrated supported real-time computations essential for the global communication network. The PowerPC 602, aimed at , appeared in prototypes for the M2 multimedia console, developed in the mid-1990s. Initial designs featured a single 602 at 66 MHz with a 64-bit bus, later evolving to dual 602 cores at the same speed linked to a custom "Bulldog" ASIC for graphics and I/O acceleration, enabling up to 700,000 textured polygons per second in demos like IMSA Racing. These prototypes, showcased in 1996 before the project's sale to Matsushita and cancellation in 1997, demonstrated the 602's suitability for compact gaming hardware with integrated 4 KB caches for efficient 3D rendering. For niche computing upgrades, the PowerPC 604e powered the Phase 5 CyberStorm PPC accelerator card for systems in the late 1990s, bridging legacy 68k architectures with PowerPC performance in enthusiast and gaming setups. Available at speeds of 150 to 233 MHz, the 604e worked alongside a 68040 or 68060 , supporting up to 128 MB of and SCSI-3 , which accelerated PowerPC-native software and games on /4000 machines while maintaining compatibility with existing titles. In industrial embedded systems, derivatives like the e300 core— an enhanced 603e successor—sustained use in networking equipment through the via Freescale's (later NXP) PowerQUICC II Pro family. Devices such as the MPC8347EA integrated the e300 at up to 667 MHz with dual controllers, providing superscalar processing for routing, storage, and telecom applications in rugged, legacy-compatible SoCs; documentation revisions extended to 2011, underscoring ongoing deployments in industrial controllers and communication modules.

Discontinuation and Successors

The production of the PowerPC 600 series processors was gradually phased out by the early , as both and shifted focus to more advanced architectures to address evolving market demands. Apple, a primary adopter of the 600 series for its high-end systems, completed its transition away from the PowerPC 604 and 604e by March 1998, with the last models such as the Power Macintosh 9600 relying on these chips. similarly moved on from 600-series derivatives in server applications, culminating in the release of the processor in 2001, which effectively ended support for earlier PowerPC lines in enterprise environments. The PowerPC 620, intended as a 64-bit flagship, saw only limited production and was discontinued shortly after its debut due to underwhelming performance relative to expectations. Several factors contributed to the discontinuation of the 600 series. Intense competition from x86 processors, particularly from and , eroded PowerPC's performance edge; by the late , x86 had surpassed the 600 series in clock speeds and overall throughput, especially during the GHz escalation that left PowerPC designs trailing in consumer and workstation markets. Strains within the —comprising , , and —further accelerated the shift, as Motorola struggled with process technology limitations and failed to scale clock speeds beyond approximately MHz for subsequent iterations, prompting Apple to pivot primarily to IBM-supplied . Additionally, the PowerPC 620's high manufacturing costs, estimated at over $1,200 per unit upon release, made it unviable for broad adoption in cost-sensitive segments like desktops and servers. The primary successors to the PowerPC 600 series were the 7xx family, which evolved directly from the 603 and 604 designs to target desktop and workstation applications. Introduced in 1997, the PowerPC 750 (G3) offered improved efficiency and integration, such as backside L2 cache, while the later 7400 (G4) series in 1999 added AltiVec vector processing for enhanced multimedia performance; these chips powered Apple's product lines until the mid-2000s. For embedded systems, the PowerPC 400 and 500 series provided low-power alternatives, focusing on real-time control and integration in SoCs, while the Book E extension of the PowerPC ISA underpinned the e300 core family, enabling scalable derivatives for automotive and networking applications. The legacy of the PowerPC 600 series endures in the evolution of RISC architectures, influencing open-standard designs through its emphasis on superscalar execution and modular extensions, as seen in later SIMD implementations across platforms including . Derivatives like the e300 cores continued in niche industrial roles into the , powering embedded controllers in sectors such as automotive systems and network processors, with ongoing support from NXP in products like the PowerQUICC II Pro family (e.g., MPC8347) for high-reliability environments.

References

  1. [1]
    [PDF] PowerPC An Inside View - ibmfiles.com
    IBM is creating an open standard. The microprocessors are from a collaboration between IBM, Apple and Motorola. The PowerPC Reference. Platform specification ...<|separator|>
  2. [2]
    PowerPC on Apple: An Architectural History, Part I - Ars Technica
    Aug 3, 2004 · The 600-series saw the PPC line go from the new kid on the block to a mature RISC alternative that brought Apple's PowerMac workstation to the ...
  3. [3]
    [PDF] SR28-5124-01_The_PowerPC_Architecture_May94.pdf
    Second Edition (May 1994). This edition notice applies to The PowerPC Architecture: A Specification for A New Family of RISC. Processors.
  4. [4]
    [PDF] PowerPC™ 601 RISC Microprocessor Technical Summary
    The PowerPC 601 is a 32-bit, superscalar RISC microprocessor with three execution units, capable of executing up to three instructions per clock.
  5. [5]
    [PDF] PowerPC 603 ™ RISC Microprocessor Hardware Specifications
    The PowerPC 603 is a low-power, superscalar RISC processor with 32-bit addressing, 32/64-bit data types, and a pipelined FPU. It has 32 GPRs and FPRs.
  6. [6]
  7. [7]
    [PDF] Motorola Begins Sampling PowerPC 601 - CECS
    May 10, 1993 · The three-issue superscalar chip has a 32-Kbyte unified cache and incorporates 2.8 million transistors on a die that is only 11 mm (433 mils) on ...
  8. [8]
    [PDF] From Somerset to SoC - NXP Semiconductors
    Mar 14, 1994 · roots in IBM's POWER architecture, at a jointly owned research facility in Austin,. Texas, called Somerset (named after the legendary site of ...
  9. [9]
    Reduced instruction set computer (RISC) architecture - IBM
    In 1993, the AIM alliance introduced the PowerPC. Known today as Power ISA, it debuted in the Apple Power Macintosh 6100 and retains a strong presence within ...
  10. [10]
    [PDF] IBM Delivers First PowerPC Microprocessor: 10/28/92 - CECS
    Oct 28, 1992 · The 601 is a superscalar implementation that integrates an integer unit, a floating-point unit, a branch unit, an MMU, and a large cache. The ...
  11. [11]
  12. [12]
    IBM SAYS LACK OF RESOURCES CAUSED THE POWERPC 620 ...
    Mar 19, 1996 · IBM Corp told us that the PowerPC 620's design and development problem was due in large part to the Somerset lab's lack of resources.
  13. [13]
    [PDF] Power PC Concepts Architecture Design 1994.pdf - Vintage Apple
    RISC characteristics, and featured fixed-length instructions, a load-store architecture, and a generous number of general purpose registers.* It was also.
  14. [14]
    [PDF] PowerPC User Instruction Set Architecture Book I Version 2.01
    As used in this document, the term “PowerPC Archi- tecture” refers to the instructions and facilities described in Books I, II, and III. The description of the.
  15. [15]
    [PDF] PowerPC™ Microprocessor Family: - The Programming Environments
    This document contains information on a new product under development by Motorola and IBM. Motorola and IBM reserve the right to change or discontinue this ...Missing: 600 timeline
  16. [16]
    [PDF] Power ISA™ Version 3.1 - RCS Wiki
    May 1, 2020 · In 1991, Apple,. IBM, and Motorola began the collaboration to evolve to the PowerPC Architecture, expanding the architec- ture's applicability.
  17. [17]
    POWER® family and PowerPC® architecture overview - IBM
    A POWER family or PowerPC microprocessor contains a branch processor, a fixed-point processor, and a floating-point processor.
  18. [18]
    [PDF] PowerPC® Microprocessor Family: The Programming Environments ...
    Mar 31, 2005 · PowerPC user instruction set architecture (UISA)—The UISA defines the level of the architecture to which user-level software should conform.<|separator|>
  19. [19]
    [PDF] MPCxxx Instruction Set - NXP Semiconductors
    ... PowerPC architecture in which the instruction may be found—user instruction set architecture (UISA), virtual environment architecture. (VEA), and operating ...
  20. [20]
    [PDF] PowerPC™ Microprocessor Common Hardware Reference Platform
    The PowerPC™ micropro- cessor has been jointly developed by IBM®, Motorola®, and Apple® to meet the computer performance growth requirements of the 90s and ...<|control11|><|separator|>
  21. [21]
    [PDF] PowerPC™ 603 RISC Microprocessor Technical Summary
    This document provides an overview of the PowerPC 603™ microprocessor features, including a block diagram showing the major functional components.
  22. [22]
    [PDF] PowerPC 604 RISC Microprocessor Technical Summary
    This document provides an overview of the PowerPC 604 microprocessor. It includes the following: • An overview of 604 features.
  23. [23]
  24. [24]
    PowerPC 601 - Higher Intellect Vintage Wiki
    Oct 11, 2024 · The IU is composed of a four-stage pipeline: implementing fetch, dispatch and decode, execute, and write back. The PowerPC 601's IU is ...
  25. [25]
    PowerPC 601 Microprocessor - Molecular Expressions
    The IBM/Motorola PowerPC 601, designed for personal computers and low-end workstations, was originally released in April 1993 with a clock speed of 50 MHz, ...Missing: first 1992
  26. [26]
    [PDF] The Power PC 601 microprocessor - IEEE Micro - ResearchGate
    The general-purpose processor contains a 32-Kbyte cache and a superscalar machine organization that allows dispatch and execution of up to three instructions ...Missing: summary | Show results with:summary
  27. [27]
    PowerPC 601 Speed Boost - The CPU Shack
    Using industry-standard benchmarks, the 100 MHz PowerPC 601 achieves an estimated SPECint92 performance rating of 105 and a SPECfp92 rating of 125 with a ...
  28. [28]
    [PDF] PowerPC 601 ™ RISC Microprocessor Hardware Specifications
    The 601 provides an on-chip, 32-Kbyte, eight-way set-associative, physically addressed, unified instruction and data cache and an on-chip memory management unit ...
  29. [29]
    [PDF] PowerPCTM 601 Microprocessor - Hot Chips
    Extended architecture to full 64-bits (with 32-bit compatibility). Hot Chips, August 1993. ®MOTOROLA INC. Motorola/IBM PowerPC Silicon rッ。、 ...
  30. [30]
    [PDF] ARCHIVED BY FREESCALE SEMICONDUCTOR, INC. 2006
    The 601 is a superscalar processor capable of issuing and retiring three instructions per clock, one to each of three execution units.
  31. [31]
  32. [32]
    e300 Power Architecture ™ Core Family - Reference Manual
    This is a reference manual for the e300 Power Architecture Core Family, supporting e300c1, e300c2, e300c3, and e300c4.
  33. [33]
  34. [34]
    [PDF] PowerPC 604 ™ RISC Microprocessor Hardware Specifications
    This section provides both the AC and DC electrical specifications and thermal characteristics for the 604. The following specifications are preliminary and ...
  35. [35]
    [PDF] PowerPC 604e RISC Microprocessor Technical Summary
    This document provides an overview of the PowerPC 604e microprocessor features, including a block diagram showing the major functional components.Missing: 600 | Show results with:600
  36. [36]
    [PDF] PowerPC 604e - Bitsavers.org
    The PowerPC 604e microprocessor embodies the intellectual property of IBM and of Motorola. ... Floating-Point Execution Models—UISA ...
  37. [37]
    [PDF] Advance Information PowerPC PID9q-604eTM RISC ...
    Sep 23, 1999 · This supplement contains pertinent requirements for the 333, 350, 366, 375, and 400 MHz PowerPC. PID9q-604e microprocessors from IBM.
  38. [38]
    IBM PowerPC 620 200MHz - The CPU Shack
    Mar 20, 1996 · 604e. edition in April and with a 604e in September. computer company is said to have no interest in PowerPC.Missing: specifications | Show results with:specifications
  39. [39]
    POWERPC 620: A LOOK UNDER THE HOOD SHOWS SAME ...
    Nov 9, 1994 · POWERPC 620: A LOOK UNDER THE HOOD SHOWS SAME NUMBER OF FUNCTIONAL UNITS, A BIGGER CACHE AND OTHER NIPS AND TUCKS. CBR Staff Writer November 9, ...
  40. [40]
    [PDF] Most Significant Bits: 3/25/96 - CECS
    Mar 25, 1996 · While less troubled than the PowerPC 620, HP's PA-8000 processor has also hit a snag. The company announced it is currently shipping limited ...
  41. [41]
    [PDF] Bull ESCALA Tower PL & S, E,T
    Dec 9, 2003 · The Escala E Series servers are designed to house the PowerPC 620, PowerPC RS64-II and the. PowerPC RS64-III processors, and to offer full 64- ...
  42. [42]
    BULL EXTENDS OEM DEAL WITH IBM; LAUNCHES HIGH-END ...
    Oct 22, 1997 · The new Escala RL use IBM's RS64 64-bit CPU, developed by IBM's AS/400 division. IBM itself abandoned the PowerPC 620, which Bull is still ...
  43. [43]
    [PDF] PowerPC 602 Aims for Consumer Products - CECS
    Feb 16, 1995 · The 3DO system generates complex 3D graphics on the fly, requiring significant compute power, yet the current unit sells for $399 (including a ...
  44. [44]
    POWERPC 602 EMERGES AS JOINT IBM-MOTOROLA - Tech Monitor
    Jan 23, 1995 · Well, the 602 that is on the table now looks like a joint IBM Corp-Motorola Inc embedded affair. The two have maintained their own separate ...
  45. [45]
    IBM PowerPC 602 - The CPU Shack
    At 66MHz the chip is expected to use less than 1.2 Watts, dropping to 2 milliwatts when on standby. Let's start with the bits of the chip that have gone missing ...Missing: specifications M2 history
  46. [46]
  47. [47]
    [PDF] REPORT - Ardent Tool of Capitalism
    Nov 18, 1996 · QED's PowerPC 603q Heads for Low Cost. Design Firm Wraps Its First PowerPC Chip; Future of the Part Still Uncertain. QED engineer Bryan Chin ...Missing: canceled | Show results with:canceled
  48. [48]
    [PDF] RISC Processors Can Emulate x86 Quickly - CECS
    May 30, 1994 · We expect that the rumored PowerPC 615, using some of the hardware-based emulation techniques de- scribed here, will debut in 1995. This chip ...
  49. [49]
    PowerPC 615 sidebar - halfhill.com
    Sources say this version of the PowerPC 615 will fit a Pentium socket on existing PC motherboards and deliver Pentium-level performance at a comparable price.Missing: prototype | Show results with:prototype
  50. [50]
    CPUs: PowerPC G3 - Low End Mac
    Jun 30, 2014 · Arthur, legendary King of England, became the code-name for the third generation PowerPC (PPC) processor, eventually named the 740 and 750.
  51. [51]
    IBM's Server Processors: The RS64 and the POWER - The CPU Shack
    Jan 24, 2011 · The RS64 line implemented the PowerPC-AS architecture and was initially released in 1997. RS64 designs were focused mainly on transaction processing.Missing: 620 Bull Escala
  52. [52]
    [PDF] MICROELECTRONICS MONITOR. VOL.1, NO.4, 1994 (20928.en)
    IBM is plaming to use the 630 in high-end servers and in its mid-range com~rs such a~ the AS/400 models. The PowerPC 630 will not be ready until al lea.'it ...
  53. [53]
    IBM moves Power Systems manufacturing from Minnesota to Mexico
    Mar 8, 2013 · ... IBM failed from their Austin, Texas labs with the PowerPC 630. IBM moved Power processor development to Austin a long time ago, as well, but ...Missing: internal | Show results with:internal
  54. [54]
    [PDF] 1997_MPCBUSIF_The_Bus_Inte...
    PowerPC 601 Processor Upgrade to 60x ... microprocessor', and 'PowerPC 60x microprocessor bus interface', respectively.
  55. [55]
    [PDF] RS/6000 7025 Model F80 - IBM
    May 9, 2000 · The 6xx bus operates at a clock rate of 150 MHz, for a processor clock speed of 450 MHz. And for a processor clock speed of 500 MHz, the 6xx ...
  56. [56]
    [PDF] The IBM RS/6000* 43P Model 260 - Unix HQ
    memory/system control chips, via an IBM bus referred to as the 6XX-MX bus. The MX bus is. 64-bits wide and operates at 66 MHz. Peak throughput is 528 MB ...
  57. [57]
    Power Macintosh 6100/60: Technical Specifications - Apple Support
    Power Macintosh 6100/60: Technical Specifications ; Introduced: 3/14/1994 ; Discontinued: 1/3/1995 ; Form Factor: Centris 610 ; Gestalt ID: 75 ; Weight (lbs):. 14.
  58. [58]
    Transplanting the Mac's Central Processor: Gary Davidian and His ...
    Jun 29, 2020 · It took several more years, until 1994, for the first Macs with PowerPC processors, the Power Macintosh 6100, 7100, and 8100, to be released to ...
  59. [59]
    March 14, 1994: Apple Power Macintosh - Apple Podcasts
    1994 – Apple computer released a new line of MacIntosh computers in the Power Macintosh 6100. With a 60-66 MHz PowerPC 601 RISC processor – the first time Apple ...
  60. [60]
    PowerPC 603 Processor Mac Specs - EveryMac.com
    The PowerPC 603 was used from April 1995 to May 1996, was the slowest PowerPC, and used in low-end Macs like the Performa 5200CD at 75 MHz.
  61. [61]
    Apple's Performa Line, 1992 to 1997 - Low End Mac
    Sep 14, 2015 · It was great in theory, but Apple's engineers cut a lot of corners, essentially grafting a PowerPC 603 CPU onto a logic board designed for a ...
  62. [62]
    Power Macintosh 9500/180MP - Technical Specifications
    L1 Cache: 64K ; L2 Cache: 512K ; 2nd Processor: opt. PC Compatbility Card ; Slots: 6 PCI ; Notes: Processor board contains two PowerPC 604e processors. One PCI slot ...Missing: 604ev Mach5
  63. [63]
    Apple Power Macintosh 9500/132 Specs - EveryMac.com
    The Apple Power Macintosh 9500/132, based on the Tsunami architecture, features a 132 MHz PowerPC 604 processor, 16 MB of RAM, a 1.0 GB or 2.0 GB hard drive.
  64. [64]
    Power Mac 9500 - Low End Mac
    Unlike the first generations Power Macs, the 9500 had PCI slots and used the PowerPC 604 processor, a significantly improved, second-generation PPC design.
  65. [65]
    IBM RISC SYSTEM/6000 MODEL 40P ENTRY WORKSTATION
    Built on the PowerPC 601(TM) microprocessor, the system delivers the performance required to effectively run today's AIX(R) applications. The exceptional ...
  66. [66]
    CPUs: PowerPC 601 - Low End Mac
    Jun 24, 2014 · The PowerPC 601, the first PowerPC chip, was made by IBM, used in early Power Macs, had 2.8 million transistors, and a 32 KB L1 cache.<|control11|><|separator|>
  67. [67]
    [PDF] IBM RISC System/6000 43P Series - ibmfiles.com
    Three models differing by speed and L2 cache, PowerPC 604 (TM)-based processors running at 100MHz, 120MHz, and 133MHz with a 256KB Asynchronous L2 cache.<|separator|>
  68. [68]
    IBM SETS EIGHT NEW POWERPC 604 RS/6000 MODELS
    Jun 9, 1995 · It is expected to turn up with five workstations and three servers, all using the hard-to-obtain PowerPC 604 chip clocked at 100MHz, 120MHz or ...
  69. [69]
    BULL OFFERS A POWERPC SMP SERVER: THE ESCALA - HPCwire
    Feb 2, 1996 · Lower-end SMP (shared memory) multiprocessor servers are an obvious option for the PowerPC that IBM itself has, so far, chosen not to exercise.Missing: RS64 | Show results with:RS64
  70. [70]
  71. [71]
    Cyberstorm PPC604e - DCE - Big Book of Amiga Hardware
    An accelerator which was designed to plug into the CPU Fast Slot of the A3000 and A4000 series machines. The Cyberstorm PPC is an amazing piece of kit providing ...
  72. [72]
    What exactly is CHRP anyway? - CNET
    Aug 1, 1997 · The ultimate goal of the clone vendors is to eliminate the ROM and to develop "ROMless" CHRP systems where compatibility is achieved in software ...
  73. [73]
    [PDF] PPC 604 Powers Past Pentium: 4/18/94 - CECS
    Apr 18, 1994 · IBM and Motorola have taken the wraps off their next processor, the 604, revealing the true performance potential of the PowerPC architecture.
  74. [74]
    [PDF] History of Processor Performance - Columbia CS
    Apr 24, 2012 · Intel Pentium III, 1.0 GHz. 2584. AMD Athlon, 1.6 GHz. Intel Pentium 4 ... PowerPC 604, 0.1GHz. 80. Alpha 21064, 0.2 GHz. 51. HP PA-RISC, 0.05 ...
  75. [75]
  76. [76]
    Apple PowerBook 1400c/133 Specs - EveryMac.com
    Nov 20, 1996 · The Apple Macintosh PowerBook 1400c/133 features a 133 MHz PowerPC 603e processor, 16 MB of RAM, a 1.0 GB or 1.3 GB hard drive, and a 6X or 8X CD
  77. [77]
    Apple PowerBook 3400c/200 Specs - EveryMac.com
    Feb 17, 1997 · Processor Speed: 200 MHz, Processor Type: PowerPC 603e. Details: This model has a 32-bit processor and a 64-bit data path. Processor Upgrade: ...Missing: clock | Show results with:clock
  78. [78]
    [PDF] A Survey of Communication Sub-systems for Intersatellite Linked ...
    For processing, each Iridium satellite is equipped with seven Motorola/Freescale PowerPC 200 MHz 603E pro- cessors [16]. The four crosslink antennas each ...
  79. [79]
    CPU of the Day: CS603RMP-200 PowerPC 603r Goes Golden
    Mar 31, 2019 · The CS603RMP-200 is a 200MHz PowerPC 603r processor. The 603r is nearly identical to the 603e, but allows for lower voltages (2.5V) and is made on a 0.29u ...
  80. [80]
    3DO M2 - History of the REAL 3DO Multiplayer
    Jul 2, 2024 · M2 Technical specs · Central processing unit – 2 X 66 MHz PowerPC 602. · 33 MHz 64-bit multiplexed address and data bus. · 4 KB data and ...Missing: processor | Show results with:processor
  81. [81]
    Cyberstorm PPC604e - Phase 5 - Big Book of Amiga Hardware
    The Cyberstorm PPC is an amazing piece of kit providing both a 68k processor and a PowerPC processor. The idea is that the PowerPC can be used to run software ...
  82. [82]
    [PDF] MPC8347EA PowerQUICC II Pro Integrated Host Processor ...
    This section describes the DC and AC electrical specifications for the local bus interface of the. MPC8347EA. 10.1 Local Bus DC Electrical Characteristics.