Fact-checked by Grok 2 weeks ago

Fin field-effect transistor

A Fin field-effect transistor (FinFET) is a non-planar, multigate (FET) in which the is constructed as a thin, vertical "fin" protruding from the , enabling the to wrap around three sides of the fin for enhanced electrostatic control over the and reduced short-channel effects compared to traditional planar MOSFETs. Invented in 1999 by Chenming Hu and his team at the , the FinFET addressed scaling limitations in planar transistors by introducing a three-dimensional structure that improves drive current, switching speed, and power efficiency while minimizing leakage. The device's fin typically has a height of 20–50 nm and width of 5–30 nm, with the effective width determined by twice the fin height plus the top surface, allowing scalability to gate lengths below 20 nm. FinFETs represent a pivotal advancement in technology, first demonstrated with functional devices achieving 17 nm gate lengths in early prototypes and entering commercial production with Intel's 22 nm Tri-Gate process in 2011, which marked the industry's shift from planar to 3D transistors to sustain . Key variants include double-gate (sidewalls only) and tri-gate (including the top) configurations, often built on bulk or silicon-on-insulator substrates, with gate dielectrics like hafnium oxide to support high-k/metal-gate integration. These transistors excel in sub-10 nm nodes by suppressing short-channel effects such as drain-induced barrier lowering and roll-off, achieving on/off current ratios exceeding 10^6 and subthreshold swings near the 60 mV/decade Boltzmann limit. The adoption of FinFETs has transformed , mobile devices, and AI accelerators, powering processors primarily from companies like down to 3 nm nodes as of 2025, with Samsung transitioning to gate-all-around (GAA) FETs at 3 nm, though challenges like fin pitch scaling and have spurred development of successors like GAA FETs. Despite complexities—such as precise fin patterning via and strain for mobility enhancement—FinFETs remain dominant as of 2025, enabling over 10 billion transistors per chip with 30–50% power savings over planar equivalents.

Fundamentals

Definition and Structure

The Fin field-effect transistor (FinFET) is a non-planar, multi-gate metal-oxide-semiconductor (MOSFET) in which the conducting channel is formed in a thin silicon "fin" protruding vertically from the substrate, enabling enhanced gate control over the channel compared to traditional planar designs. This fin-like structure, resembling a fish's , serves as the active channel region, with the gate electrode wrapping around multiple sides of the fin to form a three-dimensional . Key structural elements of the FinFET include the fin, typically with fin height (Hfin) of 20–60 and fin width (Wfin) of 5–30 , which defines the channel's and scalability. The gate dielectric, often a high-k material, wraps around three sides of the fin in the common tri-gate configuration, while heavily doped and regions are formed at the ends of the fin along its length; substrate isolation is achieved via (STI) in bulk or silicon-on-insulator (SOI) substrates to prevent leakage. In this setup, the fin acts as the vertical channel body, with the gate electrode's length along the fin determining the channel length (Lg). Variations in gate placement distinguish FinFET configurations: the double-gate (DG) FinFET features the gate on two opposing sides of the fin for improved electrostatic integrity, while the tri-gate wraps around three sides (two lateral and one top) to maximize control. The fin width (Wfin) critically influences the effective channel width (Weff), approximated as Weff ≈ 2 × Hfin + Wfin in tri-gate structures, allowing multiple parallel fins to scale drive current without increasing footprint.

Comparison to Planar MOSFETs

Planar MOSFETs feature a flat region formed on the surface of a , with the controlling the from a single side, which results in relatively weak electrostatic control as dimensions shrink. This single-sided configuration leads to diminished gate-to- coupling at small scales, exacerbating issues in maintaining precise control over carrier flow. As planar MOSFETs scale below 22 nm, they encounter severe short-channel effects (SCEs), including drain-induced barrier lowering (DIBL), elevated leakage currents, and threshold voltage roll-off, which degrade device performance and increase power consumption. These limitations arise primarily from the inability of the planar structure to adequately suppress off-state leakage and maintain sharp turn-off characteristics, hindering further adherence to . Planar transistors dominated nodes at 45 nm and larger, but their scalability stalled below 20–22 nm regimes due to these electrostatic challenges. In contrast, the FinFET's multi-gate architecture—wrapping the channel from multiple sides—enhances gate-to-channel electrostatic coupling, significantly mitigating SCEs by factors of approximately 2-3 times relative to planar MOSFETs. This improved control reduces DIBL and leakage currents, enabling reliable operation at advanced nodes. Quantitatively, planar MOSFETs typically exhibit subthreshold swings (SS) of 80-100 mV/decade due to incomplete depletion, while FinFETs approach the ideal 60 mV/decade through better volume inversion in the fin channel. Consequently, FinFET adoption at the 22 nm node by facilitated continued scaling beyond planar limitations.

Operation

Working Principle

The Fin field-effect transistor (FinFET) operates on principles similar to those of a conventional (MOSFET), where the gate voltage modulates the conductivity of the channel to control current flow between and , but with superior electrostatic control due to the multi-gate architecture. In the off-state, when the gate-to-source voltage V_{gs} is below the V_{th}, the channel within the silicon fin is fully depleted of majority carriers, effectively isolating the and and minimizing leakage current. In the on-state, with V_{gs} > V_{th}, an inversion layer of minority carriers forms along the vertical sidewalls of the fin, creating a conductive path that allows electrons (in n-channel devices) or holes (in p-channel devices) to flow from source to drain under the influence of the drain-to-source voltage V_{ds}. The multi-gate structure, in which the gate electrode wraps around three or four sides of the , generates a uniform across the fin's cross-section, enabling stronger depletion in the off-state and more complete inversion in the on-state than in single-gated devices, thereby enhancing overall device performance. The value of V_{ds} further defines the operating regime: low V_{ds} results in linear-region operation with ohmic conduction through the , while higher V_{ds} pinches off the near the , leading to saturation-region operation where becomes relatively independent of V_{ds}. In FinFETs, the L_{ch} (gate length) determines the path over which carriers are controlled by the , while the fin height H_{fin} contributes to the effective width. The I_{ds} is proportional to the gate overdrive voltage (V_{gs} - V_{th}), analogous to behavior but amplified by the increased effective gate area. A key feature in thin-finned FinFETs is volume inversion, where, due to the nanoscale fin dimensions, the inversion charge carriers distribute throughout the three-dimensional volume of the fin rather than being confined to the oxide-semiconductor interfaces, which mitigates surface scattering and reduces mobility degradation compared to surface-channel inversion in planar devices.

Electrical Characteristics

The output characteristics of FinFETs, plotting drain current I_{ds} versus drain-source voltage V_{ds}, exhibit distinct linear and saturation regions, similar to planar MOSFETs but with enhanced performance due to the multi-gate structure increasing the effective channel width. In the linear region, the current follows modulated by gate control, while saturation occurs at higher V_{ds} where the channel pinches off, yielding higher on-current I_{on} values, such as up to 1.1 mA/μm for n-type FinFETs at V_{gs} = 1.2 V. This improvement stems from the fin's three-dimensional geometry, which provides better electrostatic control and reduces short-channel effects. Transfer characteristics, depicting I_{ds} versus gate-source voltage V_{gs}, demonstrate a sharp subthreshold slope, enabling efficient switching with minimal gate voltage swing. For a fixed V_{ds}, the curve transitions abruptly from off-state leakage to on-state conduction, reflecting the superior gate coupling in FinFETs compared to planar devices. The threshold voltage V_{th} in FinFETs is approximated by V_{th} \approx V_{fb} + 2\phi_b + \frac{Q_{dep}}{C_{ox}}, where V_{fb} is the flat-band voltage, \phi_b is the bulk potential, Q_{dep} is the depletion charge, and C_{ox} is the oxide capacitance; this expression is adjusted for fin aspect ratio to account for volume inversion and multi-gate effects. The subthreshold swing SS is given by SS = \frac{kT}{q} \ln(10) \left(1 + \frac{C_{dep}}{C_{ox}}\right), achieving values as low as ~65 mV/decade in FinFETs versus ~90 mV/decade in planar MOSFETs, due to reduced depletion capacitance C_{dep} from enhanced gate control. Leakage current I_{off} is significantly reduced in FinFETs, typically in the range of 1-10 nA/μm, through improved gate control that minimizes subthreshold leakage and suppresses drain-induced barrier lowering. Drive current density in n-type FinFETs reaches up to 1-2 mA/μm, while transconductance g_m = \frac{\partial I_{ds}}{\partial V_{gs}} is enhanced by 30-50% over planar MOSFETs, attributed to the increased gate-to-channel coupling and reduced series resistance. Variability from random dopant fluctuation is mitigated in FinFETs due to the thin undoped or lightly doped , which reduces the statistical impact of distribution on and uniformity compared to planar devices.

Design Parameters

Fin Geometry and Dimensions

The geometry of the in a Fin field-effect transistor (FinFET) is defined by several key dimensions that directly impact device performance, electrostatic , and integration density. The fin height, denoted as H_{\text{fin}}, typically ranges from 20 nm to 50 nm, providing the effective channel width while enabling compatibility with scaled channel lengths in advanced nodes. The fin width, W_{\text{fin}}, is generally kept below 10 nm to enhance gate over the channel and mitigate short-channel effects, though excessively narrow dimensions can introduce quantum confinement that shifts and degrades . The fin pitch, or the spacing between adjacent fins, is commonly 30-40 nm, allowing for higher density without excessive . These dimensions involve inherent trade-offs in design optimization. A narrower W_{\text{fin}} strengthens electrostatic integrity by improving suppression, but it elevates source/drain series resistance, potentially limiting overall drive capability. Conversely, increasing H_{\text{fin}} enhances on-state drive current, as I_{\text{on}} scales proportionally with fin height due to the larger effective channel perimeter exposed to the . The fin , defined as H_{\text{fin}} / W_{\text{fin}}, is typically maintained between 2 and 5 to balance optimal with fabrication feasibility; ratios in this range ensure robust while minimizing variability in sub-5 nm nodes, where fin approaches physical limits and exacerbates process-induced fluctuations. In multi-fin configurations, multiple parallel fins are employed to boost total drive current without proportionally increasing the device . The effective width W_{\text{eff}} for a tri-gate FinFET is approximated as W_{\text{eff}} = N_{\text{fins}} \times 2 \times H_{\text{fin}}, neglecting the smaller top surface contribution when W_{\text{fin}} is minimal, allowing designers to scale current by adjusting the number of fins N_{\text{fins}}. Fin definition relies on advanced patterning techniques to achieve the required nanoscale precision and uniformity. Sidewall image transfer (SIT), also known as spacer lithography, enables sub-lithographic fin widths by depositing and etching conformal spacers around a sacrificial mandrel, effectively doubling pattern density. For nodes below 7 nm, extreme ultraviolet (EUV) lithography is increasingly adopted to pattern fins directly, reducing overlay errors and supporting tighter pitches while the gate wraps around the fin for enhanced control.

Gate and Material Configurations

In FinFETs, the gate stack replaces traditional (SiO₂) dielectrics with high-k materials to enable aggressive scaling while preserving gate control. High-k dielectrics, such as hafnium (HfO₂) with a (ε_r) of approximately 25, allow for a thicker physical thickness compared to SiO₂ (ε_r ≈ 3.9) without reducing the , defined as C_{ox} = \epsilon_{ox} / t_{ox}, where \epsilon_{ox} is the permittivity and t_{ox} is the physical thickness. This substitution mitigates quantum tunneling leakage inherent in ultra-thin SiO₂ layers below 2 nm, enabling (EOT) values under 1 nm. HfO₂ has emerged as the predominant high-k material due to its thermal stability, compatibility with processing, and ability to achieve low EOT in FinFET structures. For instance, a 5 nm HfO₂ layer can yield an EOT of 0.78 nm, supporting sub-10 nm channel lengths. Advanced stacks, such as HfO₂-ZrO₂-HfO₂, further enhance scalability in FinFETs by improving dielectric reliability and reducing defects at the high-k/ interface. In 7 nm technology nodes, EOT has been scaled to approximately 0.7 nm using optimized HfO₂-based dielectrics, balancing and leakage. The gate electrode in FinFETs employs metal gates, such as (TiN) and (TaN), to eliminate the poly-Si depletion effect that increases effective EOT by up to 0.4 nm in planar devices. These metals enable precise (φ_m) tuning between 4.1 eV and 5.2 eV, directly setting the (V_th) without dopant segregation issues. TiN, with its tunable φ_m via aluminum incorporation or atomic layer annealing, serves as a barrier layer in stacks, while TaN provides mid-gap work functions around 4.6 eV suitable for balanced operation. Integration of these materials often uses the process, also known as gate-last, where a dummy poly-Si gate is removed post-channel fabrication, allowing high-k/metal deposition after high-temperature steps. This approach improves thermal budget management by avoiding exposure of sensitive high-k layers to dopant activations exceeding 1000°C, enhancing interface quality and reliability in FinFETs. RMG facilitates conformal wrapping of the tri-gate structure around the fin, optimizing electrostatic control. For compatibility, dual-work function schemes differentiate n-type (low φ_m ≈ 4.1-4.3 eV, e.g., TiN//TiAl) and p-type (high φ_m ≈ 4.8-5.2 eV, e.g., /Ti/HfN) gates within the same process flow. This tuning achieves V_th values of around 0.3 V for both NMOS and PMOS without additional implants, as demonstrated in 22 nm FinFETs. Selective deposition or etching in enables separate optimization, reducing process complexity. Channel variations, such as compressive strained in p-FinFETs, complement gate configurations by boosting hole mobility up to 25% over unstrained . SiGe fins with 20-40% content induce uniaxial strain, enhancing drive currents without altering the high-k/metal stack. This integration, compatible with , has been implemented in advanced nodes for p-type devices.

Advantages and Performance Benefits

Scaling and Short-Channel Effect Mitigation

The multi-gate structure of the Fin field-effect transistor (FinFET) provides enhanced electrostatic control over the channel compared to planar MOSFETs, effectively mitigating short-channel effects (SCEs) such as drain-induced barrier lowering (DIBL) and threshold voltage roll-off. By surrounding the thin silicon fin on three sides, the gate exerts greater influence on the channel potential, reducing charge sharing between the drain and channel and thereby suppressing subthreshold leakage. This superior gate control results in DIBL values as low as 71 mV/V for n-type FinFETs with 10 nm gate lengths. FinFETs have facilitated transistor scaling from the 22 nm technology node to sub-5 nm nodes, including 3 nm, as of 2025, enabling lengths (L_g) down to 10 nm while limiting (V_th) roll-off to less than 100 mV. Demonstrations of 10 nm L_g FinFETs show subthreshold swings of 101–125 mV/decade, indicating robust immunity suitable for advanced nodes. At the 3 nm node, FinFETs achieve up to 1.6× logic density improvements over 5 nm equivalents with maintained control. The natural scaling length λ, approximated as λ ≈ √(ε_si t_si t_ox / ε_ox) where t_si equals the fin width (W_fin), is reduced in FinFETs due to the thin (t_si ≈ 10–20 ), allowing approximately 30% smaller L_g than in planar devices for equivalent SCE control. The compact fin pitch in FinFET designs further supports scaling by enabling up to 2× higher transistor density relative to planar MOSFETs at the same , as the vertical orientation allows tighter packing without compromising . However, at W_fin < 5 nm, quantum confinement effects emerge, increasing the effective mass in the and causing V_th shifts of about 50 mV due to subband formation. These impacts are mitigated through design optimizations, including rounded fin profiles and strain engineering to preserve mobility.

Drive Current and Power Efficiency

The three-dimensional fin channel in FinFETs significantly enhances drive current by providing superior gate control over multiple sides of the channel, achieving on-state currents (I_on) up to 1.2 /µm at a supply voltage of 1.0 V. This represents a 30% improvement over equivalent planar MOSFETs at the same off-state leakage, enabling higher performance in scaled nodes without excessive power draw. Power efficiency in FinFETs is bolstered by reductions in both dynamic and static power components. Dynamic power, expressed as P = C V_{dd}^2 f, decreases due to the ability to operate at lower supply voltages of 0.7–0.9 V while maintaining performance, as the improved allow voltage scaling without compromising drive strength. Static power is further minimized with off-state currents (I_off) below 100 nA/µm, resulting from enhanced subthreshold control that curbs leakage in standby modes. A key metric of this efficiency is the , which improves by up to 50% in FinFET circuits relative to planar designs, particularly at scaled voltages; this translates to substantial life extensions in mobile processors by optimizing per . Building on electrical characteristics like , FinFETs also support faster switching, with RF variants exhibiting cutoff frequencies (f_T) exceeding 300 GHz due to elevated g_m values. Overall, FinFETs maintain a favorable trade-off with I_on / I_off ratios greater than $10^5, ensuring robust on-state performance alongside minimal standby leakage for energy-constrained applications.

Challenges and Limitations

Fabrication and Manufacturing Issues

The fabrication of Fin field-effect transistors (FinFETs) involves a complex sequence of processes to create the three-dimensional fin structure, which significantly differs from planar MOSFET fabrication due to the need for precise vertical and horizontal alignments. Key steps include fin formation, achieved through advanced lithography and reactive ion etching (RIE). Prior to the adoption of extreme ultraviolet (EUV) lithography, double or quadruple patterning techniques were essential for defining fin pitches below 50 nm, as single-exposure deep ultraviolet (DUV) lithography struggled with resolutions finer than 40 nm. This patterning is followed by etching to sculpt the silicon fins from a silicon-on-insulator (SOI) or bulk substrate, often using a hard mask to protect the fin tops during plasma etching. Subsequent gate wrapping is facilitated by depositing sidewall spacers, typically silicon nitride, around the fins to enable the gate electrode to conformally surround three sides of the fin channel, enhancing electrostatic control. Source and drain regions are then formed via selective epitaxial growth of silicon-germanium (SiGe) for p-type devices or silicon-carbon (SiC) for n-type, which introduces strain to boost carrier mobility. Despite these advancements, several manufacturing issues arise from the FinFET's 3D geometry, leading to variability in . Fin line-edge roughness (LER) propagates through etching and causes (V_th) variations, as the rough edges alter the effective width and induce local non-uniformities. Undercutting during the RIE fin etch can erode the fin sidewalls, reducing fin height and compromising gate control, while stress-induced defects from the may generate dislocations that propagate into the , degrading . These challenges are exacerbated at dimensions below 10 nm, where even sub-nanometer deviations impact . For fin pitches narrower than 40 nm, EUV becomes indispensable below the 7 nm node to achieve the required and overlay accuracy, as DUV introduces excessive defects and cost. Yields for FinFET es can be lower than for planar transistors, primarily due to the added of 3D in metallization and formation. As of 2025, high-numerical-aperture (high-NA) EUV is being adopted for further fin pitch scaling below 30 nm. Cost factors further complicate FinFET manufacturing, with the process requiring additional masks compared to equivalent planar devices, driven by the need for steps and precise . Thermal budget constraints during high-k dielectric and integration limit dopant activation, necessitating low-temperature processes that can introduce interface traps. via selective for / stressors is effective for enhancement but is hindered by the narrow fin width (often <10 ), which amplifies defect densities and leads to incomplete strain relaxation or cracking in the epitaxial layers. These issues have driven innovations like self-aligned double patterning (SADP) and (ALD) for spacers, yet they underscore the trade-offs in FinFETs beyond 5 nm.

Variability and Reliability Concerns

Fin field-effect transistors (FinFETs) exhibit post-fabrication variability primarily due to fin critical dimension (CD) variations, which lead to threshold voltage (V_th) spreads across devices. While random dopant fluctuation (RDF) is significantly reduced in FinFETs compared to planar MOSFETs owing to the ultra-thin fin body that minimizes dopant number fluctuations, fin orientation effects—such as mobility variations from crystallographic directions—persist and contribute to ongoing threshold voltage mismatch. Mismatch in FinFETs adheres to Pelgrom's law, expressed as σ(ΔV_th) ∝ 1/√(W_eff L), where W_eff is the effective width and L is the channel length; this scaling improves with multi-fin designs that increase effective area, yet it imposes limits on analog circuit precision due to residual local fluctuations. Reliability concerns in FinFETs include bias temperature instability (BTI), which can be influenced by high-k gate dielectrics that promote trap generation at the , resulting in accelerated V_th under bias and elevated temperatures. (HCI) benefits from FinFETs' superior control, which reduces overall impact compared to planar devices, but the exposed fin edges remain susceptible to localized damage from high-energy carriers, leading to interface state creation and drive current loss. Long-term aging effects are dominated by negative BTI (NBTI) in p-type FinFETs, which can cause significant V_th shifts after years of operation under stress conditions. To address these variability and reliability issues, design for variability (DFV) strategies are implemented, including the use of wider fins to average out local fluctuations and dummy fin structures to minimize stress-induced asymmetries and layout-dependent variations.

History

Invention and Early Concepts

The origins of the Fin field-effect transistor (FinFET) trace back to early research on non-planar transistor structures aimed at overcoming the limitations of conventional planar metal-oxide-semiconductor field-effect transistors (MOSFETs) in below 100 nm. In the 1970s and 1980s, precursors such as vertical MOSFETs and surround-gate transistors emerged in academic and industrial labs, primarily for memory applications like (DRAM). These designs featured upright channels to enable denser packing and better gate control, with early surround-gate concepts proposed by Takato et al. in 1988, demonstrating a cylindrical channel fully enveloped by the gate for enhanced (SCE) suppression. A pivotal advancement occurred in 1989 when Digh Hisamoto and colleagues at Central Research Laboratory introduced the depleted lean-channel (), recognized as the first fabricated vertical-channel -on-insulator (SOI) device with a three-dimensional double-gate structure. This innovation utilized a fin-like vertical ultra-thin SOI channel, allowing the gate to wrap around two sides for superior electrostatic control compared to planar devices. The demonstrated operation at a 0.1 µm gate length while exhibiting significantly improved SCE mitigation, high carrier mobility, and reduced variability, all achieved through conventional self-aligned processing. Building on these foundations, multi-gate MOSFET concepts gained traction in the late , with double-gate proposals emphasizing enhanced gate coupling to the for sub-micron . By 1999, Chenming and his team at the , formalized the FinFET nomenclature for a self-aligned double-gate featuring a raised fin-shaped on an SOI substrate, highlighting its compatibility with existing SOI fabrication flows. Their work included simulations illustrating the benefits of three-dimensional gate geometries, such as doubled effective channel width and robust SCE control for gate lengths below 50 nm, paving the way for into the deep sub-25 nm regime.

Development Milestones

In the early , significant progress in FinFET development occurred through academic and research institution efforts, focusing on scaling fin dimensions and improving electrostatic control. Between 2002 and 2004, researchers at UC Berkeley demonstrated double-gate FinFETs with 10 nm gate lengths and fin widths of 10 nm using optical , achieving subthreshold swings as low as 125 mV/dec for n-channel devices in optimized configurations, which highlighted the potential for low-power operation at nanoscale dimensions. Concurrently, and UC Berkeley advanced tri-gate variants, with prototypes showing enhanced gate control through three-sided wrapping, paving the way for better mitigation in sub-20 nm regimes. By 2006, advanced FinFET prototyping targeting 45 nm nodes, integrating high-k gate dielectrics and metal gates into tri-gate structures to boost drive currents and suppress leakage, with reported n-channel I_on exceeding 1 mA/µm at low voltages in early tests. This work emphasized strain engineering alongside the tri-gate , enabling 20-30% gains over planar counterparts while maintaining compatibility with high-volume flows. From 2008 to 2010, collaborations between and CEA-Leti pushed SOI-based FinFETs toward 20 nm nodes, incorporating multi-fin designs (up to 4-6 fins per ) to linearly scale drive currents without exacerbating variability, achieving I_on/I_off ratios suitable for low-power logic applications. A seminal 2010 IEEE paper from detailed 25 nm tri-gate FinFET results, reporting I_on of 1.2 mA/µm at I_off = 100 nA/µm and V_dd = 1 V, which validated the technology's readiness for integration into advanced processes and influenced subsequent commercialization paths. The parallel development of (EUV) emerged as a key enabler, allowing precise definition of sub-20 nm fin pitches and reducing multi-patterning complexity essential for FinFET scaling.

Commercialization and Adoption

Industry Implementations

Intel pioneered the commercial adoption of FinFET technology with its 22 nm tri-gate implementation in the Ivy Bridge processors, marking the first high-volume production of FinFET-based chips in 2011. This transition from 32 nm planar enabled a 37% performance improvement at low voltage while reducing power consumption by 50% at the same performance level. The Ivy Bridge CPUs, fabricated using tri-gate FinFETs, doubled transistor density compared to prior nodes, facilitating denser integration for desktop and mobile applications. TSMC followed with its 16 nm FinFET process entering volume production in early 2015, powering Apple's A9 processor in the . This node leveraged FinFETs to achieve higher drive currents and lower leakage, supporting the demands of mobile SoCs. By 2018, scaled to 7 nm FinFET with () lithography, entering high-volume manufacturing and delivering up to 1.6 times the logic density of its 16 nm process. Samsung introduced 14 nm FinFET technology in 2015 for its 7 Octa application processor, the industry's first mobile chip on this , enabling enhanced performance and efficiency for devices. Samsung advanced to 5 nm FinFET in 2019, incorporating EUV for improved fin density and up to 25% greater logic area efficiency over 7 nm. GlobalFoundries and (UMC) adopted FinFET at 14 nm and 12 nm nodes by 2016, supporting customer products in and networking. Across these implementations, FinFET enabled 2-3 times density scaling per technology node compared to planar s, driving overall transistor density gains through multi-fin structures. High-volume manufacturing of FinFETs initially relied on advanced tools such as 193 nm immersion lithography to pattern fine features like fins and gates with sub-20 nm resolution. For CMOS integration, n-type FinFETs used strained silicon channels, while p-type devices incorporated silicon-germanium (SiGe) to enhance hole mobility by up to 2-3 times over pure silicon, balancing performance in complementary pairs.

Current Status and Transitions

As of 2025, FinFET technology remains dominant in leading-edge nodes, particularly at the 3 nm scale for TSMC's N3 process, while has adopted GAAFET for its 3 nm node. The global FinFET market was valued at USD 48.56 billion in 2024, expected to grow at a CAGR of 26.23% to reach USD 312.99 billion by 2032. This dominance stems from FinFET's established scalability and performance advantages in high-volume production for mobile, , and applications at these nodes. The transition from FinFET to gate-all-around field-effect transistor (GAAFET) designs is accelerating across major foundries in 2025. TSMC has introduced its 2 nm N2 node, featuring nanosheet-based GAAFET transistors, entering high-volume manufacturing in the second half of the year to support advanced applications in its A16 technology roadmap. Samsung has employed multi-bridge channel FET (MBCFET), its GAA variant, since its 3 nm node in 2022, with full adoption planned for its 2 nm process by late 2025 to enhance power efficiency and density. Intel is concluding its FinFET era after the Intel 3 node in 2024, deploying RibbonFET—a GAA transistor implementation—at its 18A (1.8 nm) node in 2025 for improved drive current and reduced leakage. As of October 2025, Intel has begun production on the 18A node at Fab 52 in Arizona. FinFET faces inherent limitations below the 3 nm node, primarily due to fin width variability, which can induce up to 20% fluctuations in drive current and exacerbate short-channel effects. In contrast, GAAFET architectures mitigate these issues by providing superior gate control, offering 15-20% power reduction at equivalent performance levels compared to FinFET. In the foundry landscape of 2025, holds approximately 65% , bolstered by its FinFET leadership at 3 nm, while commands about 13% with its early GAA transitions; is gaining ground through aggressive 18A rollout. Hybrid FinFET-GAA configurations appear in select 4 nm nodes to bridge the architectural shift, balancing maturity with emerging benefits. Looking ahead, FinFET will persist as a legacy technology in mature nodes above 5 nm for cost-sensitive applications, but new designs increasingly favor GAAFET for sub-2 nm scaling, including toward 1 nm and beyond, to sustain through enhanced electrostatic integrity and efficiency.

Applications

Digital Integrated Circuits

Fin field-effect transistors (FinFETs) have become integral to digital integrated circuits, particularly in and components for applications. Their three-dimensional structure enhances gate control, enabling superior scaling and performance compared to planar MOSFETs, which is essential for modern microprocessors and system-on-chips (SoCs). In microprocessors, FinFET technology underpins advanced designs such as Intel's series starting from the 22 nm , where tri-gate FinFETs were first introduced to improve drive current and reduce leakage. This architecture has enabled clock speeds exceeding 5 GHz in subsequent generations, as seen in Intel's 11th-generation processors utilizing evolved FinFET processes. Similarly, Apple's M-series chips, fabricated on TSMC's 5 nm FinFET process, integrate over 100 billion transistors in configurations like the M1 Ultra, supporting complex unified architectures for demanding workloads. For SoCs, FinFETs facilitate mobile and embedded applications, exemplified by Qualcomm's Snapdragon series on TSMC's 7 nm FinFET process, which incorporates dedicated and accelerators capable of over 7 performance. These designs leverage FinFET's ability to balance high-speed computation with power constraints in battery-powered devices. In (SRAM) for on-chip caches, FinFET-based 6T cells achieve high density, with bitcell areas below 0.03 µm² at the 7 nm node, such as TSMC's 0.027 µm² implementation, allowing for larger cache sizes without excessive area overhead. This density supports terabyte-scale data centers by enabling efficient memory hierarchies in processors like AMD's architecture on 7 nm FinFET, which powers chips for and HPC environments. A key advantage in circuits is FinFET's reduction in gate delay by approximately 20-30% compared to planar transistors at equivalent nodes, driven by improved electrostatic control that minimizes short-channel effects; this is critical for applications, where lower delays enhance throughput in designs like AMD's at 7 nm. Multi-threshold voltage (multi-V_th) FinFET designs further optimize digital circuits by assigning low-V_th fins to critical speed paths for faster switching while using standard or high-V_th fins elsewhere, a standard approach that integrates with to selectively disable inactive blocks and curb leakage. These techniques contribute to overall power efficiency in high-density , reducing dynamic power in performance-critical paths without compromising area.

Analog and RF Devices

Fin field-effect transistors (FinFETs) have been employed in operational amplifiers for low-noise applications, enabling their use in sensitive applications such as sensors and analog-to-digital converters (ADCs). This low noise performance stems from the enhanced control in FinFETs, which minimizes channel fluctuations and improves in mixed-signal systems. In radio frequency (RF) applications, FinFET-based power amplifiers support millimeter-wave (mmWave) systems, with cutoff frequencies (f_T) exceeding 400 GHz in advanced nodes, facilitating high-speed operations in base stations. For instance, implementations in 16-nm FinFET technology deliver efficient amplification for mmWave bands, balancing power output and linearity essential for infrastructure. FinFETs exhibit superior analog figures of merit compared to planar MOSFETs, such as transconductance-to-drain (g_m / I_d) greater than 20 S/A, attributed to the uniform doping and improved electrostatic control provided by the three-dimensional structure. However, process-induced variability in fin dimensions and constrains precision in analog circuits to approximately 10-bit resolution, necessitating careful device matching. In mixed-signal circuits, FinFETs enable phase-locked loops (PLLs) and digital-to-analog converters (DACs) for (IoT) chips, where their low leakage currents support always-on operational modes with minimal power dissipation. This subthreshold leakage reduction, often below 10 pA/μm, allows sustained functionality in battery-constrained environments without significant penalties. Analog design with FinFETs faces challenges from fin orientation mismatch, which can induce systematic variations in and , requiring strict rules such as common-centroid placement and symmetric fin to mitigate . These rules ensure balanced current distribution across parallel fins, preserving in amplifiers and mixers.

References

  1. [1]
    (PDF) FinFET-a self-aligned double-gate MOSFET scalable to 20 nm
    Aug 6, 2025 · MOSFETs with gate length down to 17 nm are reported. To suppress the short channel effect, a novel self-aligned double-gate MOSFET, FinFET, is proposed.
  2. [2]
    How the Father of FinFETs Helped Save Moore's Law - IEEE Spectrum
    Apr 21, 2020 · Chenming Hu, the 2020 IEEE Medal of Honor recipient, took transistors into the third dimension · It was 1995. · The story of the FinFET · A field- ...
  3. [3]
    Biography - Chenming Hu
    He led the invention and development of the 3D thin-body transistor, FinFET, in 1999. Intel hailed the 3D thin-body breakthrough as the most radical shift ...
  4. [4]
    Comprehensive Review of FinFET Technology: History, Structure ...
    Sep 25, 2024 · Their pioneering work revealed that these transistors, characterized by reduced body bias effects, exhibited superior switching performance when ...Missing: invention | Show results with:invention
  5. [5]
    [PDF] Intel's Revolutionary 22 nm Transistor Technology
    Intel is introducing revolutionary Tri-Gate transistors on its. 22 nm logic technology. • Tri-Gate transistors provide an unprecedented combination.
  6. [6]
  7. [7]
    Comparative Simulation Analysis of Process Parameter Variations in ...
    Mar 21, 2017 · FinFET has several advantages compared to planar devices such as well suppressed short channel effects, reduced subthreshold swing (~70 mV ...
  8. [8]
    FinFET - Semiconductor Engineering
    Intel moved into production with finFETs at the 22nm node in 2011. The foundries ramped up finFETs at 16nm/14nm. In finFETs, the traditional 2D planar gate ...
  9. [9]
    Investigation of short channel effects in Bulk MOSFET and SOI ...
    The DIBL of bulk MOSFET is found to be 2.57 times more than that of SOI FINFET, so SOI FinFET has shown reduction in short channel effects as compared to bulk ...Missing: comparison | Show results with:comparison
  10. [10]
  11. [11]
    Introduction to FinFET: Formation process, Strengths, and Future Exploration
    Insufficient relevant content. The provided URL (https://ieeexplore.ieee.org/document/10048442) links to an IEEE Xplore page titled "Introduction to FinFET: Formation process, Strengths, and Future Exploration | VDE Conference Publication," but the full text is not accessible without a subscription or purchase. No specific section on the working principle of FinFET, including off and on states, gate control, inversion layer, current flow, or mentions of volume inversion or bias, is available in the accessible metadata or abstract.
  12. [12]
    Realization and characterization of nano-scale FinFET devices
    The output characteristics show excellent on-currents of 1.1 mA/μm for the n-MOS and 500 μA/μm for the p-MOS transistors.
  13. [13]
    A Comparative Study of Short Channel Effects in 3-D FinFET with ...
    Dec 21, 2021 · The transfer and output characteristics have been shown. FinFET with high-k dielectric shows higher I on /I off ratio and reduced threshold ...
  14. [14]
  15. [15]
    An improved Fourier series-based analytical model for threshold ...
    In this work, Fourier series-based analytical models for threshold voltage (V th ) and Sub-threshold Swing (SS) are developed for Junctionless Fin Field Effect ...
  16. [16]
  17. [17]
    Analog and RF performance of a multigate FinFET at nano scale
    Due to shorter device dimension and higher driving current, FinFET has higher packing density as compared to DG-MOSFET [8], [9]. It also has excellent ...
  18. [18]
    Variability Impact of Random Dopant Fluctuation on Nanoscale ...
    May 3, 2012 · Junctionless fin held-effect transistor (FinFET) variability due to random dopant fluctuation (RDF) was investigated for sub-32-nm ...
  19. [19]
    A Device-Level Characterization Approach to Quantify the Impacts of ...
    Jun 16, 2016 · The impacts of random dopant fluctuation are negligible for FinFETs with lightly doped channel, leaving metal gate granularity and line-edge ...Missing: variability | Show results with:variability
  20. [20]
    Effects of Varying the Fin Width, Fin Height, Gate Dielectric Material ...
    Dec 28, 2021 · The impact of varying the fin width (Wfin = 4, 6.5, 15, and 20 nm), fin height (Hfin = 10, 15, 20, 25, 30, and 35 nm), gate dielectric materials ...Missing: pitch | Show results with:pitch
  21. [21]
    [PDF] Introducing 10-nm FinFET technology in Microwind - HAL
    Jun 21, 2017 · In the proposed 10-nm technology, HFIN is 40nm, WFIN 6nm, so one fin has an equivalent width of 86 nm (Figure 11).
  22. [22]
    [PDF] The Effect of Fin Structure in 5 nm FinFET Technology
    It has been reported that the quantum confinement effect due to narrow fin width and short gate length may introduce a shift in threshold voltage, around 50 mV ...
  23. [23]
    Intel 10nm - Breakfast Bytes - Cadence Blogs
    Jan 2, 2018 · As I already said, the fin pitch is 34nm. The fin width is 7nm at the middle of the fin height. It is manufactured with standard SAQP with ...
  24. [24]
    Intel Unveils 10, 22nm Processes - EE Times
    Mar 28, 2017 · Intel Unveils 10, 22nm Processes · 34nm fin pitches · 53nm fin heights · 36nm minimum metal pitches · 272nm cell heights · 54nm gate pitches.
  25. [25]
    FinFETs: From Devices to Architectures - Bhattacharya - 2014
    Sep 7, 2014 · Intel introduced Trigate FETs at the 22 nm node in the Ivy-Bridge processor in 2012 [28, 82]. Figure 4 shows a Trigate FET along with a FinFET.<|control11|><|separator|>
  26. [26]
    [PDF] FINFET WITH FULLY PH-RESPONSIVE HFO2 AS HIGHLY STABLE ...
    The FinFETs were fabricated using a local SOI technology on Boron doped Si-bulk wafers [7, 8]. Fin widths from 15 to 40 nm and HFin/WFin > 3 have been achieved.
  27. [27]
    [PDF] Electrical characteristics dependence on the channel fin aspect ratio ...
    Oct 27, 2009 · Three different channel fin aspect ratio transistors are studied; they are FinFET (i.e., device with AR = 2), tri-gate (AR = 1) and quasi-planar ...
  28. [28]
    What is a FinFET? - Technical Articles - EEPower
    Oct 23, 2020 · FinFET stands for a fin-shaped field-effect transistor. Fin because it has a fin-shaped body – the silicon fin that forms the transistor's ...
  29. [29]
    2D view of the bulk FinFET tri-gate structure. - ResearchGate
    The fin height (Hfin) is 40 nm which is adopted from [13]. For the tri-gate FinFET the effective width (Weff) is equal to (2Hfin + Tfin) and thus the Weff of ...Missing: N_fins * | Show results with:N_fins *
  30. [30]
    FinFET Patterning Techniques: Scale And Resolution
    IBM has also developed specialized sidewall image transfer techniques that enable fin pitch scaling beyond conventional lithography limits. Their research ...
  31. [31]
    Patterning challenges in advanced device architectures: FinFET to ...
    Aug 9, 2025 · Sidewall transfer lithography (STL), otherwise known as edge lithography, spacer lithography, sidewall image transfer and self-aligned ...
  32. [32]
    ASAP7: A 7-nm finFET predictive process design kit - ScienceDirect
    In this PDK, we assume fins are patterned over the entire design using self-aligned quadruple patterning (SAQP) and optical immersion lithography. Fin pitch ...<|separator|>
  33. [33]
    Sub-20 nm Si fins with high aspect ratio via pattern transfer using ...
    Apr 1, 2019 · Our process can be applied in both e-beam lithography and EUV lithography. Abstract. We report on a novel and simple pattern transfer process ...
  34. [34]
    A review on effect of various high-k dielectric materials on the ...
    In this paper, we will see the effect of several types of high-k gate dielectrics materials ie Hafnium oxide (HfO2), Lanthanum doped zirconium oxide (LaZrO2), ...
  35. [35]
    Ultimate Scaling of High-κ Gate Dielectrics: Higher-κ or Interfacial ...
    This leaves us with three possible EOT scaling approaches: (1) Introduce a new high-κ material with k-value greater than that of HfO2; (2) Increase the k-value ...
  36. [36]
    [PDF] Impact Of High-K Gate Dielectrics On Short Channel Effects Of DG N ...
    EOT for HfO2 as gate dielectric with 5 nm is 0.78 nm which is a promising one in the scalability of the device as it allows scaling less than 1 nm without any ...
  37. [37]
    Demonstration Highly Scalability of Ultra-thin EOT HfO2-ZrO2-HfO2 ...
    Abstract—We demonstrate the high scalability of equivalent oxide thickness (EOT) scaled HfO2-ZrO2-HfO2 (HZH) gate stacks based on FinFETs with a physical ...
  38. [38]
    [PDF] High-K materials and Metal Gates for CMOS applications
    However, after 6 years of further scaling, EOT is reaching values below 0.7 nm, and near-abrupt interfaces are close to being used. 2.5 Metal gate vs poly- ...
  39. [39]
    Metal gate work function tuning by Al incorporation in TiN
    Feb 21, 2014 · The objective of this work is to decrease the TiN EWF by the incorporation of Al into TiN metal electrode using an AlN layer between HfO2 and ...INTRODUCTION · II. EXPERIMENTAL · III. RESULTS AND DISCUSSION
  40. [40]
    Atomic layer annealing for modulation of the work function of TiN ...
    May 30, 2022 · In this paper, the work function of TiN thin films is effectively altered by the atomic layer annealing (ALA) technique.
  41. [41]
    (PDF) Tunable Work-Function Engineering of TiC–TiN Compound ...
    Aug 9, 2025 · A high work function (5.0 eV) metal gate is suitable for a p-field effect transistor (FET) application and the midgap work function (4.6 eV) is ...
  42. [42]
    1/f noise analysis of replacement metal gate bulk p-type fin field ...
    Feb 21, 2013 · Additionally, the replacement metal gate (RMG) schemes are being considered because (1) the RMG integration can avoid aggressive thermal budget ...
  43. [43]
    Simplification of Replacement Metal Gate CMP metrology for FinFET
    A simple metal line array built on planar silicon is an excellent substitute as the gate height of this structure matches the FinFET closely.
  44. [44]
    [PDF] work function and process integration issues of metal gate materials ...
    By using this integration scheme, dual work function of 4.15 and 4.72 eV has been achieved in. TaN/Tb/TaN (NMOS) and TaN/Ti/HfN (PMOS) metal stacks, ...
  45. [45]
    High performance 22/20nm FinFET CMOS devices with advanced ...
    Aug 26, 2025 · A high performance 22/20nm CMOS bulk FinFET achieves the best in-class N/P Ion values of 1200/1100 μA/μm for Ioff=100nA/μm at 1V.
  46. [46]
    US20140038402A1 - Dual work function finfet structures and ...
    A method for fabricating a dual-workfunction FinFET structure, comprising: depositing an n-type workfunction material in a layer over a plurality of gate ...<|separator|>
  47. [47]
    Strained SiGe and Si FinFETs for high performance logic with SiGe ...
    In this work, we report high performance (Ion ~1 mA/μm at Ioff 100nA/μm @ 1V Vcc) short channel p-type SiGe/Si FinFETs combining high mobility, low Tinv (
  48. [48]
    [PDF] <100> Strained-SiGe-Channel p-MOSFET with Enhanced Hole ...
    A <100> strained-SiGe channel p-MOSFET has demonstrated a hole-mobility enhancement of about 25% and a parasitic resistance reduction of about 20% compared to ...
  49. [49]
    High performance and reliable strained SiGe PMOS FinFETs ...
    Strained-SiGe, with high-Ge-content, has recently drawn significant attention as an alternate p-channel option for advance FinFETs.
  50. [50]
    (PDF) FinFET scaling to 10 nm gate length - ResearchGate
    In this paper we report the design, fabrication, performance, and integration issues of double-gate FinFETs with the physical gate length being aggressively ...Missing: H_fin W_fin
  51. [51]
  52. [52]
    A view on the logic technology roadmap - IMEC
    Sep 22, 2020 · As scaling is pushed beyond 5nm, the FinFET is expected to run out of steam. At reduced gate length, this device fails to provide enough ...Missing: L_g V_th roll-
  53. [53]
    Performance Limit of Gate-All-Around S i Nanowire Field-Effect ...
    Nov 30, 2022 · ... (Hfin) plus fin width (Wfin) [17, 18] . Natural length λ ( α ( ε ch / ε ox ) T ch T ox ) characterizes the penetration depth of the ...
  54. [54]
    Scaling Theory for FinFETs Based on 3-D Effects Investigation
    Apr 27, 2025 · The proposed scaling length model for tapered FinFETs, expressed as a function of fin bottom width, fin height, and tapering angle, is ...
  55. [55]
    [PDF] Technology Inflection Points: Planar to FinFET to Nanowire
    Apr 4, 2016 · Planar vs FinFET vs Nano-Wire Transistors. ISPD 2016, Santa ... or Laker. DR. DR. 1. DR. 2. Fin pitch. 24 nm. 22 nm. MG ext. 15 nm. 15 nm. Spac.
  56. [56]
    Quantum Effects At 7/5nm And Beyond - Semiconductor Engineering
    May 23, 2018 · “Next nodes will scale the fin widths further below 7nm and gate lengths below 20nm, making quantum confinement and ballistic transport more ...
  57. [57]
    High performance 22/20nm FinFET CMOS devices with advanced ...
    A high performance 22/20nm CMOS bulk FinFET achieves the best in-class N/P Ion values of 1200/1100 µA/µm for Ioff=100nA/µm at 1V. Excellent device
  58. [58]
  59. [59]
    Ge GAA FETs and TMD FinFETs for the Applications Beyond Si—A Review
    - **I_on/I_off Ratio for FinFET**: The document does not explicitly provide a specific I_on/I_off ratio for TMD FinFETs. It focuses on a review of Ge GAA FETs and TMD FinFETs, discussing their potential beyond silicon, but lacks precise numerical data for this metric.
  60. [60]
    (PDF) DC/AC/RF Characteristic Fluctuation of N-Type Bulk FinFETs ...
    Sep 16, 2025 · Under high Dit conditions, we observe ~146% variation in off-state current, ~26% in threshold voltage, and large fluctuations of ~107% and ~131% ...
  61. [61]
    Random Dopant Fluctuation-Induced Variability in n-Type ... - MDPI
    We investigate the effect of random dopant fluctuation (RDF)-induced variability in n-type junctionless (JL) dual-metal gate (DMG) fin field-effect transistors ...
  62. [62]
    A comprehensive Pelgrom-based on-current variability model for ...
    We present a novel Pelgrom-based predictive (PBP) model to estimate the impact of variability on the on-current of different state-of-the-art semiconductor ...
  63. [63]
    Comprehensive device and product level reliability studies on ...
    The Bias-Temperature Instability (BTI) and Hot Carrier Injection (HCI) for 7nm FinFET are compared with past 10nm FinFET devices, as well as the novel aging ...
  64. [64]
    Modeling of HCI effect in nFinFET for circuit reliability simulation
    This paper proposes an equivalent circuit model for simulating the Hot Carrier Injection (HCI) effect. This model is developed based on the N-FinFET in the 12 ...Missing: edges | Show results with:edges
  65. [65]
    How To Reduce FinFET Device Variability - Patsnap Eureka
    Sep 11, 2025 · Multi-fin FinFET designs help mitigate device variability by averaging out the variations across multiple fins. ... Variable size fin structures<|separator|>
  66. [66]
    A fully depleted lean-channel transistor (DELTA)-a novel vertical ...
    A fully depleted lean-channel transistor (DELTA)-a novel vertical ultra thin SOI MOSFET · D. Hisamoto, Toru Kaga, +1 author. Eiji Takeda · Published in ...Missing: invention | Show results with:invention
  67. [67]
    [PDF] Sub 50-nm FinFET: PMOS - Semantic Scholar
    Sub 50-nm FinFET: PMOS · Xuejue Huang, Wen-Chin Lee, +11 authors. C. Hu · Published in International Electron… 5 December 1999 · Computer Science, Engineering, ...
  68. [68]
    [PDF] FinFET History, Fundamentals and Future - People @EECS
    Jun 11, 2012 · the Tri-Gate FET, since the top fin surface contributes to current conduction in the ON state. Double-Gate FET. Tri-Gate FET channel. 35 after M ...
  69. [69]
    [PDF] Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal ...
    ABSTRACT. We have combined the benefits of the fully depleted TriGate transistor architecture with high-k gate dielectrics, metal gate.
  70. [70]
    Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal ...
    Aug 7, 2025 · We have combined the benefits of the fully depleted tri-gate transistor architecture with high-k gate dielectrics, metal gate electrodes and strain engineering.
  71. [71]
    FINFET technology a substrate perspective - IBM Research
    Dec 20, 2011 · FINFET is a superior device structure for technology nodes beyond 22/20nm due to its excellent electrostatic. □ Junction isolation in Bulk ...Missing: Leti 2008-2010
  72. [72]
    TSMC Achieves 28nm SRAM Yield Breakthrough
    Aug 24, 2009 · “Achieving 64Mb SRAM yield across all three 28nm process nodes is striking. It is particularly noteworthy because this achievement demonstrates ...Missing: Samsung | Show results with:Samsung
  73. [73]
    EUV's Future Looks Even Brighter - Semiconductor Engineering
    Feb 20, 2025 · Multiple patterning techniques, such as double and quadruple patterning, have extended the reach of DUV well beyond its initial capabilities, ...Missing: enablers | Show results with:enablers
  74. [74]
    Intel Reinvents Transistors Using New 3-D Structure
    May 4, 2011 · The 22nm 3-D Tri-Gate transistors provide up to 37 percent performance increase at low voltage versus Intel's 32nm planar transistors. This ...
  75. [75]
    16/12nm Technology - Taiwan Semiconductor Manufacturing
    TSMC also introduced a more cost-effective 16nm FinFET Compact (16FFC) technology, which entered production in 2016. ... The 12nm FinFET Compact Plus ...Missing: UMC | Show results with:UMC
  76. [76]
    7nm Technology - Taiwan Semiconductor Manufacturing
    In 2018, TSMC became the first foundry to start 7nm FinFET (N7) volume production. The N7 technology is one of TSMC's fastest technologies to reach volume ...
  77. [77]
    Samsung Successfully Completes 5nm EUV Development to Allow ...
    Apr 16, 2019 · Compared to 7nm, Samsung's 5nm FinFET process technology provides up to a 25 percent increase in logic area efficiency with 20 percent lower ...Missing: fin density
  78. [78]
    GLOBALFOUNDRIES Achieves 14nm FinFET Technology Success ...
    Nov 5, 2015 · The 14LPP platform taps the benefits of three-dimensional, fully-depleted FinFET transistors to enable customers like AMD to deliver more ...
  79. [79]
    New Transistor Structures At 3nm/2nm - Semiconductor Engineering
    Jan 25, 2021 · Recently, Leti demonstrated a nanosheet FET with seven sheets. A seven-sheet GAA has a “3X improvement over the usual 2-level stacked ...
  80. [80]
    5nm Technology - Taiwan Semiconductor Manufacturing
    In 2022, TSMC became the first foundry to move 3nm FinFET (N3) technology into high-volume production. ... immersion lithography technology... 0.13µm ...
  81. [81]
  82. [82]
    Samsung Versus TSMC Versus Intel | NextBigFuture.com
    Jul 29, 2025 · TSMC 3nm process node is the best FinFET technology and TSMC dominates semiconductor ... Samsung's nodes available in 2025 include its 3nm ...
  83. [83]
    Samsung Begins Chip Production Using 3nm Process Technology ...
    Jun 30, 2022 · Optimized 3nm process achieves 45% reduced power usage, 23% improved performance and 16% smaller surface area compared to 5nm process.
  84. [84]
    FinFET Technology Market Size, Share & Global Trends, 2032
    The FinFET Technology Market Size was valued at USD 48.56 Billion in 2024 and is expected to grow at a CAGR of 26.23% to reach USD 312.99 Billion by 2032.
  85. [85]
    TSMC's 2nm N2 process node enters production this year, A16 and ...
    Apr 24, 2025 · TSMC first GAA-based N2 process will enter HVM in the second half of 2025 with strong early adoption from both mobile and HPC/AI sectors.
  86. [86]
    Samsung to Introduce 3rd Generation Gate-All-Around 2nm ...
    Apr 30, 2024 · Samsung is pressing forward with GAA transistors and will launch the third generation of this technology with its 2nm process in 2025.
  87. [87]
    Intel details 18A process technology — takes on TSMC 2nm with 30 ...
    Jun 23, 2025 · The new 18A production node is expected to deliver significant improvements in power, performance, and area over its predecessor, increasing density by 30%.
  88. [88]
    Transistors Reach Tipping Point At 3nm - Semiconductor Engineering
    Feb 23, 2022 · When the fin width for finFETs reaches 5nm (around the 3nm node), the contacted poly pitch (CPP) reaches a limit of roughly 45nm with a metal ...
  89. [89]
    Intel 18A Node Explained: How RibbonFET Boosts AI Scalability
    Oct 18, 2024 · RibbonFET is Intel's first Gate-All-Around (GAA) transistor, offering up to 15% better performance per watt compared to FinFET; PowerVia is the ...
  90. [90]
    Samsung vs. TSMC vs. Intel: Who's Winning the Foundry Market ...
    Oct 26, 2025 · TSMC leads the foundry market with 64.9% share in Q3 2024, while Samsung has 9.3% and Intel did not rank in the top ten. In 2024, TSMC had 62% ...
  91. [91]
    Why the Semiconductor Industry Is Replacing FinFET - ALLPCB
    Sep 11, 2025 · Explore how nanosheet (GAA) transistors and 3D chip stacking complement FinFET scaling, driving 2-3nm node advances and chiplet integration.
  92. [92]
    The Path to 1nm and Beyond: Navigating the Next Frontier ... - Avecas
    Aug 7, 2025 · We moved from planar transistors to FinFETs, and now to Gate-All-Around (GAA) transistors like Intel's RibbonFET and Samsung's MBCFET. These ...
  93. [93]
    Intel's latest 11th Gen processor brings 5.0GHz speeds to thin and ...
    May 30, 2021 · The Core i7-1195G7 features a base clock speed of 2.9GHz, but cranks up to a 5.0GHz maximum single core speed using Intel's Turbo Boost Max 3.0 ...Missing: FinFET | Show results with:FinFET
  94. [94]
    Apple M2 Pro SoC - Yole Group
    Aug 3, 2023 · A full physical and cost analysis of Apple's newest M series System-on-Chip. ... Transmission electron microscopy analysis of TSMC's 5 nm FinFET ...
  95. [95]
    Apple unveils M1 Ultra, the world's most powerful chip for a personal ...
    Mar 8, 2022 · The new SoC consists of 114 billion transistors, the most ever in a personal computer chip. M1 Ultra can be configured with up to 128GB of ...Apple (KE) · Apple (FI) · Apple (HU) · Apple (BG)Missing: count | Show results with:count
  96. [96]
    TSMC 7nm HD and HP Cells, 2nd Gen 7nm, And The Snapdragon ...
    Jun 16, 2019 · The Snapdragon 855 integrates eight CPU cores, their custom Adreno GPU, DPS with AI acceleration capable of over 7 TOPS, and various ...
  97. [97]
    12.1 A 7nm 256Mb SRAM in high-k metal-gate FinFET technology ...
    Figure 12.1.1(a) shows the layout of a high density 6T SRAM bit cell with a 0.027μm 2 area in a leading edge 7nm FinFET technology.Missing: TSMC | Show results with:TSMC
  98. [98]
    TSMC 7nm, 16nm and 28nm Technology node comparisons
    Sep 24, 2021 · 6T SRAM bit cell size, 0.027 um2, 0.074 um2, 0.127 um2 (HD). 12, Contact ... Categories: FinFET, TSMC 7nm Technology node, TSMC Process nodes ...
  99. [99]
    AMD Unveils EPYC 'Milan' 7003 CPUs, Zen 3 Comes to 64-Core ...
    Mar 15, 2021 · AMD whipped the covers off its EPYC 7003 series Milan processors, which bring the potent Zen 3 architecture to the data center for the first ...
  100. [100]
    FinFET Vs Planar FET: Assessment In Processing Speed
    Sep 11, 2025 · FinFET architecture provides superior channel control compared to planar FETs, resulting in improved processing speeds. The three-dimensional ...
  101. [101]
    Multi-Vt - Semiconductor Engineering
    Multi-Vt uses different threshold voltage gates to optimize power, timing, and area. Low-Vt gates switch faster, but consume more power, while high-Vt gates ...
  102. [102]
  103. [103]
    Analog/Mixed-Signal Design in FinFET Technologies - ResearchGate
    Oct 5, 2017 · We attempt to summarize the challenges and technology considerations encountered when we port analog/mixed-signal designs to a finFET node.Missing: DAC | Show results with:DAC
  104. [104]
    High-Power Generation for mm-Wave 5G Power Amplifiers in Deep ...
    Jun 3, 2020 · Two 5G PA prototypes are presented in the 16-nm FinFET and 28-nm planar bulk CMOS technologies. The 16-nm PA is the first mm-Wave PA in a FinFET ...Missing: f_T | Show results with:f_T
  105. [105]
    High-Power Generation for mm-Wave 5G Power Amplifiers in Deep ...
    A review is presented of the key techniques for high-power, high-efficiency millimeter-Wave (mm-Wave) 5G power amplifier (PA) design in deep submicrometer ...Missing: f_T | Show results with:f_T
  106. [106]
    FinFET technology for analog and RF circuits - Semantic Scholar
    Comparison of digital and analog figures-of-merit of FinFETs and planar bulk MOSFETs reveals an interesting trade-off in analog/RF design space. It is seen ...<|separator|>
  107. [107]
    A SURVEY ON FINFETS: TECHNOLOGY, PROS, CONS AND ...
    For mixed signal scope, [17] focused on a 10 bit digital to analog converter using FINFETs and it proved to reduce the footprint area compared to planar designs ...<|separator|>
  108. [108]
    [PDF] FinFET Based Low Power Techniques for the Power Management of ...
    Jan 6, 2023 · This paper presents FinFET-based power management for IoT, using low-power techniques and FinFETs to reduce leakage, and uses indoor solar ...
  109. [109]
    Analog/Mixed-Signal Design Challenges in 7-nm CMOS and Beyond
    A 7-nm FinFET CMOS PLL With 388-fs Jitter and -80-dBc Reference Spur ... Low leakage (SVt) FinFET transistors achieveexcellent short channel control ...
  110. [110]
    [PDF] Common-Centroid FinFET Placement Considering the Impact of ...
    Apr 1, 2015 · We propose a novel common-centroid FinFET placement for- mulation which simultaneously considers all the conventional common-centroid rules, ...
  111. [111]
    A Crucial Step to Becoming an Efficient IC Layout Engineer
    In sub-65nm technologies and FinFET nodes, layout mismatches are no longer subtle. Even minor coincidence or orientation errors can introduce significant ...