Fact-checked by Grok 2 weeks ago

2 nm process

The 2 nm process is an advanced fabrication technology that enables the production of integrated circuits with gate lengths and densities equivalent to approximately 2 nanometers, achieving unprecedented scaling in count per chip while enhancing performance and . This node represents a critical from prior generations like 3 nm, primarily through the adoption of gate-all-around (GAA) designs—such as nanosheets, multi-bridge-channel FETs (MBCFETs), or RibbonFETs—which encircle the channel on all sides for superior electrostatic control, reduced leakage, and improved drive current compared to FinFET architectures. Leading foundries are at the forefront of 2 nm development, with both and having initiated production on their respective nodes as of late 2025. Taiwan Semiconductor Manufacturing Company () pioneered its N2 node using first-generation nanosheet GAA transistors and innovations like Nanoflex for customizable sheet widths across logic cells. 's N2 delivers up to a 15% higher performance or 30% lower power consumption at iso-speed compared to its N3E process, alongside a 15% increase in logic density and the industry's densest cell at 38 Mb/mm², an 11% improvement over N3. Risk production began in July 2024, with high-volume manufacturing beginning in late 2025 and over 15 major customers, primarily in (HPC) and , already committed. Samsung Electronics advanced its SF2 (2 nm) process with MBCFET GAA technology, emphasizing stability and yield improvements to compete in mobile and HPC applications, including turnkey solutions for AI chiplets and advanced packaging like I-Cube. The company began mass production in 2025, initially for mobile devices, with expansion to HPC in 2026 and automotive by 2027, while prioritizing sub-3 nm enhancements over more aggressive 1.4 nm scaling. Early demonstrations include integration with Arm architectures for AI data centers, underscoring Samsung's focus on ecosystem partnerships. The 2 nm process addresses escalating demands from , , and , where higher density supports more complex neural networks and faster inference, while power efficiencies mitigate thermal challenges in data centers and devices. Global market projections indicate the 2 nm and beyond segment will expand from USD 29 billion in 2025 to USD 91.5 billion by 2034, driven by AI/HPC growth.

Historical Context

Evolution of Process Nodes

The semiconductor process node, commonly known as the "node," serves primarily as a marketing designation that reflects advancements in transistor density and overall feature scaling, rather than a precise measurement of physical dimensions like gate length; this disconnect became pronounced starting with the 22 nm node, where actual gate lengths exceeded the nominal node size. This progression has been driven by foundational principles such as and . , first articulated by Gordon E. Moore in 1965 and revised in 1975, observes that the number of transistors in an doubles approximately every two years (originally stated as every year, later adjusted to 18-24 months), enabling exponential growth in computational capability; mathematically, this is expressed as N(t) = N_0 \cdot 2^{t / \tau} where N(t) is the transistor count at time t, N_0 is the initial count, and \tau is the doubling period of 2 years (or 18-24 months). Dennard scaling, proposed by and colleagues in 1974, supported this trend by predicting that uniform reduction of all transistor dimensions by a factor k, along with proportional scaling of voltage and current, would keep power density constant, allowing chips to run faster without excessive heat. The historical evolution of process nodes traces a relentless shrinkage from early micrometer-scale technologies in the —such as the 10 μm used in Intel's 4004 —to sub-5 nm regimes today, embodying through decades of innovation. By the , nodes reached 500 nm and 250 nm, enabling denser logic and memory; the 130 nm in 2001 marked widespread adoption of , while 90 nm in 2004 introduced strained silicon for performance gains. Further scaling to 65 nm (2006), 45 nm (2008), and 32 nm (2009) refined high-k metal gate materials to mitigate leakage. More recent nodes accelerated density improvements: the debuted commercially in 2017 with Samsung's implementation, achieving transistor densities around 100 million transistors per square millimeter (MTr/mm²). The 7 nm node followed in 2018 from and , offering densities of approximately 96-140 MTr/mm² and supporting complex SoCs like Apple's A12. 's 5 nm node entered production in 2020, reaching ~170 MTr/mm², a key enabler for high-performance mobile and chips. By 2022, the 3 nm node from both and pushed densities beyond 200 MTr/mm², sustaining scaling trends amid physical limits. A pivotal architectural shift occurred with the transition from planar transistors to FinFET (fin field-effect transistor) designs at the 22 nm node, pioneered by in 2011, which improved gate control over the channel to reduce leakage and enhance drive current in shorter channels. This was further advanced at the 3 nm node with the introduction of gate-all-around FETs (GAAFETs) by , providing fuller channel encirclement and serving as a bridge to 2 nm architectures.

Precursors to 2 nm

The node represented a critical step in scaling, with leading foundries achieving in 2022 and focusing on enhanced and efficiency. TSMC's N3 entered high-volume that year, delivering up to a 1.6× logic improvement over its 5 nm predecessor while reducing by 30-35% at iso-speed. Samsung's 3GAA , the industry's first gate-all-around (GAA) , began in mid-2022, providing 45% lower consumption, 23% higher , and 16% reduced area compared to its 5 nm node. 's Intel 3 node, a FinFET-based evolution of Intel 4 with extensive EUV usage, achieved high-volume by 2024, offering 18% better at the same and approximately 10% higher . These developments addressed scaling challenges like short-channel effects through refined FinFET and early GAA structures, building on gate-all-around field-effect transistors (GAAFETs) refined from 5 nm demonstrations. Experimental work on sub-3 nm technologies directly informed 2 nm designs, with research institutions pioneering prototypes to overcome electrostatic and interconnect limitations. In 2021, presented nanosheet FET prototypes targeting 2.5 nm-class nodes at the VLSI Symposium, utilizing stacked silicon nanosheets in GAA configurations to achieve gate pitches below 45 nm and superior channel control over FinFETs. These devices demonstrated improved drive currents and reduced leakage, enabling tighter scaling for logic applications while mitigating quantum tunneling issues at sub-30 nm dimensions. Such prototypes highlighted the feasibility of multi-sheet GAA architectures for densities exceeding 300 MTr/mm², paving the way for commercial adoption. Power delivery innovations emerged as key enablers during 3 nm research, with backside power delivery networks (BSPDN) first prototyped to alleviate frontside routing congestion. Imec's early BSPDN tests at 3 nm scales showed a ~1.7× reduction in IR drop compared to conventional frontside delivery, equivalent to 20-30% voltage stability gains under high current loads. By routing power rails through the backside, these concepts minimized resistance in metal layers, allowing up to 30% power savings and supporting denser arrays without excessive heat or noise. Lithography advancements from the 3 nm era extended EUV capabilities to support sub-3 nm pitches, with high-NA EUV systems developed as a bridge to finer features. Building on low-NA EUV (0.33 NA) used in 3 nm production, high-NA EUV at 0.55 NA enabled single-exposure patterning for 8 nm resolutions, reducing multi-patterning complexity and edge placement errors. These extensions, explored in collaborative efforts by and , addressed stochastic noise and throughput limits, ensuring viable scaling paths for 2 nm interconnects and contacts.

Development Timeline

Key Announcements and Milestones

In 2021, publicly unveiled its roadmap for the N2 process node, targeting high-volume manufacturing in 2025 and incorporating gate-all-around (GAA) nanosheet transistor technology as a successor to FinFETs. Similarly, announced its SF2 2 nm process node on , featuring multi-bridge-channel FET (MBCFET) transistors, with plans for mass production beginning in 2025 to support applications in , , and connected devices. By 2023, updated its process roadmap to include the 20A node—equivalent to a 2 nm-class —initially slated for ramp-up in 2024, introducing RibbonFET GAA transistors; however, the node has been largely superseded by the 18A process for 2025 production, with 20A limited to select offerings amid challenges in and yield optimization. In 2024, initiated risk production of its N2 in July, marking a key step toward full-scale and validating stability ahead of customer tape-outs. That same year, released a kit (PDK) for 2 nm in , enabling early exploration and prototyping for sub-2 nm nodes through collaborative efforts with partners. Entering 2025, achieved a major milestone by completing pilot production of the N2 process in the first quarter, attaining yield rates exceeding 90% and paving the way for volume production in the second half of the year. Meanwhile, resumed construction investments for its facility in August, accelerating preparations to support 2 nm process targeted for 2026 and addressing prior delays in customer commitments.

Recent Advancements as of 2025

In 2025, ramped up its 2 nm (N2) process toward mass production in the second half of the year, following risk production trials initiated in 2024. Pilot yields for the N2 process exceeded 90% by mid-year, enabling a smooth transition to volume manufacturing at facilities in ; as of November 2025, initial mass production has begun in Q4, with full capacity for already allocated to customers. The enhanced N2P variant, focusing on performance and efficiency optimizations without backside power delivery, began preparation for deployment in late , targeting further improvements in power efficiency for and applications, with backside power delivery planned for the A16 node. Samsung Electronics commenced mass production of its SF2 2 nm process in the fourth quarter of 2025 at facilities in , with yields reaching 50-60% by November and initial output focused on AI accelerators and mobile processors, such as the 2600 for the S26 series; the plant is preparing for additional capacity in 2026. Japan's Corporation advanced construction of its 2 nm fabrication facility in , achieving significant milestones including cleanroom completion and equipment installation by mid-2025, with test production of GAA transistors beginning in July 2025 and overall progress supporting mass production in 2027. Global efforts to bolster 2 nm capabilities received substantial support through the U.S. , with over $20 billion allocated across grants for advanced facilities, including $6.6 billion to TSMC's Arizona expansion and $6.4 billion to Samsung's Texas operations. These investments facilitated infrastructure builds and yield improvements essential for domestic 2 nm production. In November 2025, updated its 2 nm pathfinding PDK with advanced memory macros, enhancing support for next-generation system-on-chip designs.

Core Technologies

Transistor Innovations

The 2 nm process introduces gate-all-around field-effect transistors (GAAFETs) based on stacked horizontal nanosheets, marking a shift from the fin-shaped channels of earlier FinFET designs introduced around the 7 nm node. This architecture surrounds the channel on all four sides with the gate, enhancing electrostatic control and mitigating short-channel effects that limit scaling in prior technologies. The nanosheet design stacks multiple thin silicon layers, typically 5-6 nm thick, to form the channel, allowing precise tuning of drive current while suppressing leakage currents compared to FinFETs. Samsung's implementation, known as the multi-bridge-channel FET (MBCFET), refines this GAAFET approach by employing up to four nanosheet bridges per fin structure, enabling flexible channel width adjustment for optimized performance in logic applications. This multi-bridge configuration improves gate-to-channel coupling, further reducing off-state leakage and supporting the dense integration required at 2 nm scales. Intel's RibbonFET, a GAAFET variant using stacked nanowires, provides enhanced drive current and scaling for its 20A and 18A nodes. A key benefit of these innovations lies in improved , governed by the formula C_g = \frac{[\epsilon](/page/Epsilon) \cdot A}{t_{ox}} where C_g is the , \epsilon is the of the gate dielectric, A is the effective gate area, and t_{ox} is the oxide thickness. Nanosheet designs effectively minimize the equivalent t_{ox} through enhanced conformal gate wrapping, boosting C_g and enabling lower supply voltages.

Fabrication Methods

The fabrication of 2 nm process nodes relies on advanced techniques to achieve the necessary feature resolution. High-numerical aperture (NA) (EUV) lithography, with an NA of 0.55, enables single-patterning of critical layers at a 24 nm pitch, facilitating the creation of 2 nm-scale features. These systems, developed by , were first deployed to customers in 2025, marking a significant advancement over low-NA EUV for sub-2 nm scaling. Power delivery in 2 nm fabrication incorporates a backside power delivery network (BSPDN), where power rails are positioned on the wafer's backside to decouple them from the frontside signal interconnects. This approach uses nano-through-silicon vias (nTSVs) or similar structures to connect power directly to transistors, enabling 15-20% improvements in power usage by reducing (IR drop) compared to frontside-only configurations. Such improvements enhance power integrity and enable denser layouts without excessive resistance losses. Gate dielectrics in 2 nm processes are formed using (ALD) to deposit ultra-thin hafnium oxide (HfO₂) layers with (EOT) below 1 nm while maintaining high dielectric constants for effective gate control. ALD's precise, cycle-based growth ensures conformal coverage on complex three-dimensional structures, such as gate-all-around field-effect transistors (GAAFETs), minimizing leakage and supporting (EOT) scaling below 1 nm. Interconnect scaling at 2 nm nodes addresses challenges through the adoption of (Ru) and (Co) as liner materials in copper processes, particularly for pitches around 20 nm. These metals provide better adhesion and barrier properties than traditional , reducing liner thickness by up to 33% while improving resistance to and enabling lower overall resistivity at sub-20 nm dimensions.

Manufacturer Implementations

The following table summarizes key technical specifications for major 2 nm-class processes, based on available public data:
ManufacturerProcessGate Pitch (nm, est.)Metal Pitch (nm)Logic Density (MTr/mm²)SRAM Density (Mb/mm²)Performance Uplift (vs. prior node)Power Reduction (vs. prior node)Production Status
TSMCN2~45N/A3133815%30-35%Mass production H2 2025
SamsungSF244-48N/A231N/A5%8%Mass production late 2025
Intel20AN/AN/AN/AN/AN/AN/ACancelled for foundry
Intel18AN/AN/A238N/A25%36%High-volume manufacturing 2025
Rapidus2 nmN/A~28237N/AN/AN/APrototypes 2025, mass 2027

TSMC N2 Process

's N2 process represents a key advancement in its 2 nm-class technology, employing gate-all-around (GAA) nanosheet transistors to enable superior electrostatic control and reduced leakage compared to prior finFET designs. This architecture contributes to a 1.15 times increase in logic density over the N3E , alongside up to 15% performance gains or 35% power reductions at iso-speed. A distinguishing feature of the N2 process is its NanoFlex technology, which enables customizable nanosheet widths across logic cells for optimized and density. This innovation supports higher densities while addressing challenges in sub-3 nm nodes. The N2 family includes variants tailored for specific workloads, with N2P slated for volume production in the second half of 2026 and delivering 18% speed uplift or 36% power savings over N3E at equivalent densities. Early adoption of the N2 family includes , which completed of its first 2 nm chip using the N2P variant in September 2025, positioning it as a pioneer among mobile designers ahead of broader smartphone integrations. Mass production of N2 wafers is on track to commence in the second half of 2025, with initial capacity at 40,000 wafers per month ramping to support demand from mobile and AI sectors. Pilot production yields for the N2 process have surpassed 90% for key modules like , reflecting mature process control despite the node's complexity. Wafer costs carry an initial 10-20% premium over 3 nm equivalents, priced around $30,000 per wafer to account for advanced and materials, though are expected to narrow this gap by 2027.

Samsung SF2 Process

Samsung's SF2 process represents the company's first-generation 2 nm manufacturing node, leveraging second-generation multi-bridge-channel (MBCFET) technology, which employs gate-all-around nanosheet structures to enhance performance. This architecture allows for tunable nanosheet widths, enabling optimized drive currents and reduced leakage compared to prior FinFET designs. The SF2 node achieves a density of approximately 231 million transistors per square millimeter, providing roughly 1.4 times the area scaling efficiency over Samsung's , which facilitates higher integration for complex chips. Key performance enhancements include a 5% higher speed and 8% improved power efficiency relative to the second-generation 3 nm node (SF3), as announced in November 2025. These gains position SF2 as a competitive option for and mobile applications, with initial of chips like the 2600 commencing in late 2025. of SF2-based chips, such as the 2600, commenced in late 2025, with yields reaching approximately 50% as of November 2025. To support expanded 2 nm production, has committed $17 billion to its fabrication facility, which resumed full construction in 2025 and is slated to ramp up output for AI-focused chips, including processors for partners like . This U.S. expansion aims to bolster domestic capacity, with initial 2 nm operations targeted for 2026 ahead of broader volume scaling in late 2026 or early 2027. A pivotal partnership involves , which is testing samples of the Snapdragon 8 Elite Gen 5 manufactured on the SF2 process for potential future flagship mobile processors, with integration planned for 2026 devices such as the Z Fold 8 and Z Flip 8. This collaboration underscores SF2's viability for premium smartphones, potentially powering custom variants with enhanced capabilities. Samsung has tackled fabrication challenges, particularly in (EUV) , through investments in advanced tools like high-NA EUV systems and optimizations to mitigate defects—random variations in placement that can cause bridging or necking issues. These efforts have elevated SF2 test yields from around 30% in early 2025 to over 50% by late in the year, with targets approaching 70% for stable mass production. Compared to industry benchmarks like TSMC's N2 , SF2 emphasizes aggressive pricing and U.S.-based to capture market share in and mobile sectors.

Other Initiatives

Intel has cancelled its 20A process node, redirecting efforts to the subsequent 18A node, which incorporates RibbonFET gate-all-around (GAA) s and PowerVia backside power delivery network (BSPDN) to enhance performance and efficiency. High-volume manufacturing of 18A is targeted for 2025 as a offering. This shift emphasizes 's focus on achieving process through integrated and power delivery advancements. In , Corporation is advancing a 2 nm process through a strategic collaboration with , leveraging licensed nanosheet GAA technology to chips. Backed by significant government funding as part of national semiconductor revitalization efforts, unveiled its first 2 nm GAA in July 2025 and plans to commence trials in starting in 2026, aiming for mass production by 2027. This initiative positions as an emerging player in advanced node manufacturing, supported by partnerships with domestic firms like and . Research efforts at , Europe's leading research center, have produced prototypes and a kit (PDK) for 2 nm exploration, focusing on nanosheet transistors and beyond-CMOS innovations without plans for commercial fabrication. Collaborations involving center on specialty and essential technologies rather than leading-edge logic nodes like 2 nm, with GlobalFoundries maintaining no announced commercial roadmap for such scales. 's work emphasizes academic and industrial to inform future European semiconductor capabilities. Chinese semiconductor initiatives, particularly at SMIC, are pursuing experimental advancements toward sub-5 nm nodes but face severe constraints from U.S. export sanctions restricting access to (EUV) lithography and advanced tools. As a result, SMIC's current production remains at 7 nm-class processes, with any 2 nm-related research limited to domestic alternatives and significantly delayed by technology gaps. These efforts reflect broader national goals for amid geopolitical restrictions.

Performance Characteristics

Density and Efficiency Gains

The 2 nm process achieves significant improvements in compared to the preceding 3 nm generation, enabling more compact chip designs with greater integration of components. Leading implementations, such as TSMC's N2, deliver a 15% higher compared to its N3E process, with analyst estimates for high-density standard cells reaching approximately 313 million per square millimeter (MTr/mm²). Samsung's SF2 process provides approximately 5-15% scaling over its 3 nm generation (SF3), with estimates around 231 MTr/mm². These gains stem from architectural advancements like gate-all-around (GAA) nanosheet , which allow tighter packing without compromising functionality. In terms of , the 2 nm node offers 25-30% power reduction at equivalent levels relative to 3 nm, primarily through optimized GAA structures and backside power delivery network (BSPDN) integration in select implementations. This efficiency uplift reduces overall for logic operations, supporting denser deployments in power-constrained environments. A key scaling for the 2 nm process is the bit cell size, which shrinks to enable densities up to Mb/mm² in TSMC's N2—a 11% improvement over N3. The following table summarizes density comparisons between representative 3 nm and 2 nm processes, focusing on , , and analog (based on mixed-chip including ~20% analog content):
Density Metric3 nm (e.g., N3E)2 nm (e.g., N2)Scaling Factor
Logic (MTr/mm²)Baseline1.15×1.15×
SRAM Density (Mb/mm²)Baseline381.11×
Analog CircuitryBaseline~1.1×1.1×
These figures illustrate the 2 nm node's >1.15× overall chip density improvement, with analog scaling derived from integrated enhancements.

Power and Speed Improvements

The 2 nm process introduces significant enhancements in speed and efficiency, primarily driven by the adoption of gate-all-around (GAA) nanosheet architectures that replace finFET designs from prior nodes. These structures enable better electrostatic control, leading to a 10-15% boost at the same level compared to 3 nm processes, attributed to improved channel mobility and higher drive currents. Dynamic power consumption, governed by the equation P = C V^2 f, benefits from a substantial reduction in C due to thinner effective layers and optimized nanosheet geometries in 2 nm designs. This scaling contributes to overall power reductions of 24-35% at iso-performance versus 3 nm nodes, allowing for higher clock speeds without proportional energy increases. For static power management, GAA transistors achieve subthreshold swings below 70 mV/decade—approaching the theoretical Boltzmann limit of 60 mV/decade at —minimizing leakage currents and enabling lower in high-density circuits. In benchmarks from leading foundries, 2 nm system-on-chips demonstrate up to 15% higher integer performance metrics compared to equivalent 3 nm implementations at matched power envelopes. Intel's 20A node, a 2 nm-class process, promises up to 10% performance-per-watt gains through RibbonFET GAA and PowerVia backside power delivery.

Applications and Adoption

Initial Product Integrations

MediaTek became one of the first companies to complete tape-out of a 2 nm system-on-chip using TSMC's N2 process in September 2025, with the flagship SoC—potentially the Dimensity 9600—targeted for integration into premium smartphones starting in late 2026. This design leverages the 2 nm node to deliver enhanced AI processing capabilities, building on the performance improvements seen in prior generations but adapted for on-device inference in mobile devices. Apple has secured a significant portion of TSMC's initial 2 nm production capacity, with early engineering samples of the A20 produced in late 2025 for testing ahead of its debut in the 18 series in 2026. The A20, including Pro variants, represents Apple's first widespread adoption of 2 nm technology in consumer devices, enabling advanced features like improved Apple Intelligence processing while maintaining compatibility with premium models. NVIDIA and AMD have initiated development of 2 nm GPU test chips for AI accelerators, with tape-outs completed in 2025 to validate the process for applications. AMD's MI450 series, partially fabricated on TSMC's 2 nm node, is slated for initial shipments in the second half of 2026, targeting AI workloads. Initial 2 nm production faces challenges with yields that have reached approximately 80% at as of late , resulting in low-volume output primarily for high-end premium devices and leading to wafer costs approximately 50% higher than 3 nm equivalents. This and limited supply restrict early integrations to select products, emphasizing gains in and to justify the added expense.

Market Impact

The adoption of the 2 nm process has significantly elevated production costs in the , with pricing its 2 nm wafers at approximately $30,000 each, a roughly 50% increase over its 3 nm wafers that cost around $20,000. has countered with more aggressive pricing at $20,000 per 2 nm wafer to gain , yet the overall cost escalation for advanced nodes continues to heighten , favoring large-scale foundries and consolidating production among a few dominant players. These elevated costs are projected to drive up prices for end-user devices and chips by 3-5% in 2026, particularly affecting and segments. Geopolitical tensions have prompted substantial investments in domestic semiconductor capabilities to diminish reliance on Asian manufacturing hubs, primarily . In the United States, the allocates $52 billion through 2026 for advancing fabrication facilities, including support for nodes at or beyond 2 nm, aiming to onshore critical production and enhance . Similarly, the Union's Chips Act commits €43 billion (approximately $46 billion) to bolster its ecosystem, targeting 2 nm-capable fabs by the end of the decade to counter vulnerabilities exposed by trade restrictions and regional conflicts. These initiatives, exceeding $100 billion combined, are reshaping global alliances and accelerating regional manufacturing diversification. The surge in artificial intelligence applications has amplified the market impact of 2 nm technology, enabling up to 30% lower power consumption at equivalent performance levels compared to 3 nm processes, which directly translates to higher floating-point operations per watt (/W) for datacenter AI accelerators. This efficiency gain is fueling demand in the AI chip sector, valued at $83.8 billion in 2025 and projected to exceed $100 billion by 2026, driven by hyperscalers like and integrating advanced nodes for next-generation GPUs. Overall, the global market is expected to reach $700 billion in 2025, with AI-related advanced nodes contributing disproportionately to growth amid datacenter expansions. Supply chain disruptions are anticipated in 2026 as 2 nm production ramps up, with yields at having reached 80% and expected to stabilize further for enhanced variants like N2P. Samsung's yields have improved to 50-60% as of late 2025, targeting 70% by the end of 2025. Despite plans to double 's monthly capacity to 100,000 wafers by late 2026, pre-sold allocations to major clients like Apple and signal potential shortages, exacerbating global chip constraints until full-scale maturation. These bottlenecks could persist for high-end and computing applications, influencing pricing and availability across the industry.

Challenges and Future Directions

Technical Hurdles

One of the primary technical hurdles in developing the 2 nm process is quantum tunneling in gate dielectrics, where physical gate lengths of approximately 10-12 nm enable equivalent thicknesses (EOT) around 0.7-1 nm, causing increases in leakage due to tunneling through the thin barrier. This leakage not only elevates static consumption but also degrades reliability and in high-density circuits. To mitigate this, high-k dielectrics such as HfO₂ are employed, enabling physically thicker gate stacks (e.g., 2-3 nm) while preserving the necessary capacitance equivalent to sub-1 nm SiO₂, thereby reducing tunneling probability by orders of magnitude compared to traditional SiO₂. Another significant challenge arises from (EUV) used for patterning 2 nm features, where noise—arising from and secondary blur—generates random defects such as line breaks or merged contacts, with early process defect densities potentially reaching tens per cm² in critical layers. Achieving production-worthy yields requires driving defect densities below 1 defect per cm² through optimized resist formulations and higher doses, supported by advanced techniques like high-resolution e-beam to detect and characterize these sub-10 nm anomalies in real-time. Thermal management poses further difficulties, as the 2 nm process's higher density amplifies power dissipation, exacerbating and performance throttling in stacked architectures. Additionally, backside power delivery networks (BSPDN) partially alleviate thermal hotspots by optimizing and current paths, improving overall efficiency in dense layouts. variability remains a critical barrier, driven by edge placement error (EPE) that must be controlled to below 1 nm across multi-patterning steps to prevent systematic shorts or opens in 2 nm features. This is addressed through AI-driven systems that analyze in-line data to dynamically adjust parameters like etch bias and overlay, reducing variability and boosting yields from initial low percentages to over 80% in pilot lines. Such models predict and correct contributions to EPE, enabling tighter windows for high-volume manufacturing.

Prospects Beyond 2 nm

As manufacturing approaches the 2 nm node, industry roadmaps project continued logic scaling through advanced architectures, novel materials, and innovative fabrication techniques to sustain Moore's Law-like improvements in density and performance. Organizations like and the IEEE's International Roadmap for Devices and Systems (IRDS) outline a path to sub-1 nm nodes by the early , emphasizing gate-all-around (GAA) nanosheet transistors as the foundation at 2 nm, followed by backside power delivery networks to reduce resistance and enable tighter layouts. Leading foundries are aligning their timelines with these projections. plans to introduce its A16 node (1.6 class) in late 2026, incorporating backside power delivery for up to 8-10% performance gains or 15-20% power reductions compared to frontside designs, while targeting of the A14 node (1.4 class) by 2028. Intel's 18A (1.8 equivalent), scheduled for high-volume manufacturing in 2025, will feature RibbonFET GAA transistors and PowerVia backside power to achieve competitive density over 's N2, with early customer tape-outs already underway. , focusing on refinements to its SF2 2 process, intends to deploy SF2P (optimized for ) in 2026 and delay its 1.4 node until 2029, prioritizing improvements in GAA . Beyond GAA, forksheet transistors—featuring separated n- and p-channel sheets for reduced —are projected for introduction around 2028 at the 1.4 nm (A14) node, potentially boosting drive current by 15% while extending nanosheet scaling to the A10 (1 nm) generation. Complementary field-effect transistors (CFETs), stacking n- and p-type devices vertically, are eyed for sub-1 nm nodes circa 2032, offering 20-30% area scaling over forksheets but requiring precise vertical alignment and novel dielectrics to mitigate short-channel effects. IMEC's research highlights outer-wall forksheet variants as a bridge, enabling CFET-like density at A10 without full vertical stacking. Emerging materials will address silicon's limitations at angstrom-scale gates. Two-dimensional (2D) semiconductors, such as transition metal dichalcogenides (e.g., MoS₂), are slated for channel integration in CFETs by the 0.7 nm node around 2034, providing atomic-thin bodies immune to short-channel variability and enabling gigahertz operation with mobilities exceeding 100 cm²/V·s. High-NA EUV lithography, with 0.55 NA optics, supports these scales by resolving 8-10 nm pitches for metallization, as demonstrated by IMEC in 2025 with 20 nm pitch lines using single-patterning. Despite these advances, hurdles include quantum tunneling, thermal management, and fabrication costs, with IRDS forecasting a slowdown in density scaling to 0.4x per generation post-2 nm versus 0.5x historically. Backside power and curvilinear patterning could mitigate interconnect delays, but ecosystem-wide adoption of 2D materials demands breakthroughs in wafer-scale synthesis and contact engineering. Overall, these prospects aim for exascale computing enablers by 2040, though economic viability will depend on collaborative R&D across the supply chain.

References

  1. [1]
    TSMC Lifts the Curtain on Nanosheet Transistors - IEEE Spectrum
    Dec 12, 2024 · TSMC's N2 nanosheet technology will go into manufacturing in 2025. Intel finds that nanosheet transistors can be scaled down further than ...
  2. [2]
    Intel Accelerates Process and Packaging Innovations
    Jul 26, 2021 · Intel 20A ushers in the angstrom era with two breakthrough technologies, RibbonFET and PowerVia. RibbonFET, Intel's implementation of a gate-all ...
  3. [3]
    Samsung Foundry Innovations Power the Future of Big Data, AI/ML ...
    Oct 7, 2021 · Newly added to Samsung's technology roadmap, the 2nm process node with MBCFET is in the early stages of development with mass production in 2025 ...
  4. [4]
    2nm Technology - Taiwan Semiconductor Manufacturing
    N2 technology features first-generation nanosheet transistor technology, with full-node strides in performance and power consumption. Major customers completed ...
  5. [5]
    TSMC secures 15 customers for its 2nm technology, majority in HPC ...
    Sep 22, 2025 · Mass production for TSMC's 2nm technology is currently slated for H2 2026, with adoption expected in early 2027. On X, formerly Twitter, analyst ...
  6. [6]
    Samsung Electronics To Provide Turnkey Semiconductor Solutions ...
    Jul 9, 2024 · It will provide turnkey semiconductor solutions using the 2-nanometer (nm) foundry process and the advanced 2.5D packaging technology Interposer-Cube S (I-Cube ...
  7. [7]
    Samsung Electronics Unveils Plans for 1.4nm Process Technology ...
    Oct 4, 2022 · Samsung targets mass production of 2nm process technology by 2025 and 1.4nm by 2027. Samsung plans to expand its production capacity for the advanced nodes by ...
  8. [8]
    Samsung Foundry Partners with Arm, ADTechnology and ...
    Nov 3, 2024 · Samsung Foundry showcased its advanced 2nm process node and packaging solutions and demonstrated its technology collaboration on an AI CPU ...
  9. [9]
    Intel announces cancellation of 20A process node for Arrow Lake ...
    Sep 4, 2024 · Intel announced today that it no longer plans to use its own 'Intel 20A' process node with its upcoming Arrow Lake processors for the consumer market.Missing: details | Show results with:details
  10. [10]
    Global Semiconductor Market to Grow by 15% in 2025, Driven ... - IDC
    Dec 12, 2024 · AI and High-Performance Computing (HPC) will drive growth in advanced chips, 2nm technology, and packaging, reshaping the semiconductor ...
  11. [11]
    2nm and Beyond Semiconductor Node Market Size Report, 2034
    The global 2nm and beyond semiconductor node market was estimated at USD 19.1 billion in 2024. The market is expected to grow from USD 29 billion in 2025 to USD ...<|control11|><|separator|>
  12. [12]
    No More Nanometers - EEJournal
    Jul 23, 2020 · In the early, heady days of Moore's Law, it made sense to characterize processes by gate length (Lg). We had about a gazillion semiconductor ...
  13. [13]
    Moore's Law: The Beginnings - ECS - The Electrochemical Society
    The law, which states that the number of transistors on a silicon chip would double every year (later revised to every two years), has paved the way for ...
  14. [14]
    1974: Scaling of IC Process Design Rules Quantified
    IBM researcher Robert Dennard's paper on process scaling on MOS memories accelerates a global race to shrink physical dimensions and manufacture ever more ...
  15. [15]
    Semiconductor Technology Node History and Roadmap - AnySilicon
    Samsung first released their version of a 10 nm process node in 2017. In 2017, TSMC announced 7nm technology node production starting in 2018. (get the full ...
  16. [16]
    Samsung Begins Chip Production Using 3nm Process Technology ...
    Jun 30, 2022 · Utilizing the 3nm GAA technology, Samsung will be able to adjust the channel width of the nanosheet in order to optimize power usage and ...
  17. [17]
    TSMC Holds 3nm Volume Production and Capacity Expansion ...
    Dec 29, 2022 · Compared with the 5nm (N5) process, TSMC's 3nm process offers up to 1.6X logic density gain and 30-35% power reduction at the same speed ...Missing: mass | Show results with:mass
  18. [18]
    Semiconductor Manufacturing Process | Intel 18A, 3, and 16
    Intel 3: Intel's Ultimate FinFET Node. High-performance per watt with extensive Extreme Ultraviolet (EUV) use: Evolution of Intel 4 with 1.08x chip density and ...
  19. [19]
    Intel Delivers Leading-Edge Foundry Node with Intel 3 Technology
    Jun 18, 2024 · The base Intel 3 process node delivers up to 18% better performance at the same power for an entire processor core, a flexible set of metal interconnect ...
  20. [20]
    Backside power delivery | imec
    Nov 25, 2022 · On-chip power heat maps showed that BPRs with frontside power delivery could reduce the IR drop by ~1.7x compared to traditional frontside power ...
  21. [21]
    Multi-Patterning EUV Vs. High-NA EUV - Semiconductor Engineering
    Dec 4, 2019 · An extension of the current NA system, the 0.55 NA tool is targeted for the 3nm node in 2023, but it will likely appear at a later node, such as ...
  22. [22]
    TSMC 2021 Foundry Update: Foundry Roadmap - WikiChip Fuse
    Jul 6, 2021 · A detailed roadmap with the expected power, performance, and area improvements of future nodes, at the recent 2021 TSMC Symposium.
  23. [23]
    Samsung Foundry Innovations Power the Future of Big Data, AI/ML ...
    Oct 7, 2021 · Newly added to Samsung's technology roadmap, the 2nm process node with MBCFET is in the early stages of development with mass production in 2025 ...
  24. [24]
    Intel plans: 2nm processors next year, 1.8nm Panther Lake in 2025
    Sep 29, 2023 · Intel has confirmed that it will release processors manufactured using its 2nm node (Intel 20A) in 2024. Alongside these, 3nm processors for Sierra Forest ...
  25. [25]
    Intel 20A and 18A Foundry Nodes Complete Development Phase ...
    Mar 7, 2023 · Intel 20A (or 20-angstrom, or 2 nm) node introduces gates-all-around (GAA) RibbonFET transistors with PowerVIAs (an interconnect innovation that ...
  26. [26]
    It is reported that TSMC's 2nm process technology has started risk ...
    Sep 20, 2024 · It is reported that TSMC's 2nm process technology has started risk trial production in July, earlier than expected. 2024-09-20 from:techweb.
  27. [27]
    imec launches pathfinding Process Design Kit for 2nm chip designs ...
    Feb 19, 2024 · The 2nm PDK training program will start early Q2, teaching the specifics of the N2 technology node and offering hands-on training on digital ...Missing: demonstration | Show results with:demonstration
  28. [28]
    TSMC 2nm Process Completes Pilot Production With Yield Rates as ...
    Jun 5, 2025 · TSMC completed pilot production of its 2nm process by the end of Q1 2025, with yield rates exceeding expectations, laying a solid foundation for mass ...
  29. [29]
    Samsung resumes investment in new USA chip plant after Tesla deal
    Aug 31, 2025 · Samsung has reportedly resumed investing in the construction of its chip making facility in Taylor, Texas, USA, after the Tesla deal.
  30. [30]
    Samsung Accelerates 2nm Process Plans: Taylor Fab Targeting ...
    Samsung Electronics is accelerating production preparations at its wafer fab in Taylor, Texas, aiming to introduce 2nm process mass production in 2026.<|control11|><|separator|>
  31. [31]
    TSMC Reportedly Surpasses 90% Production Yield Rate with 2 nm ...
    Jun 4, 2025 · South Korean insider news reports posit 2 nm GAA trial yields passing 40%—a significant development for the megacorp's foundry business. Roughly ...
  32. [32]
    [News] TSMC Confirms N2P for 2H26, Joins A16 to Cement 2nm ...
    Oct 16, 2025 · According to Wei, N2 is set for mass production later this quarter, with yields tracking healthy so far. He expects the N2 ramp-up to accelerate ...Missing: variant | Show results with:variant
  33. [33]
  34. [34]
    [News] Samsung Reportedly Starts Exynos 2600 Mass Production ...
    Sep 30, 2025 · [News] Samsung Reportedly Starts Exynos 2600 Mass Production, Yield Estimated to Reach 50%. 2025-09-30 Semiconductors editor. News ...
  35. [35]
    Japanese chipmaker Rapidus begins test production of 2nm circuits
    Jul 18, 2025 · The IIM-1 site has seen rapid progress since construction began in September 2023. The clean room was finalized in 2024, and by June 2025 ...
  36. [36]
    TSMC Arizona and U.S. Department of Commerce Announce up to ...
    Apr 8, 2024 · TSMC Arizona and U.S. Department of Commerce Announce up to US$6.6 Billion in Proposed CHIPS Act Direct Funding, the Company Plans Third Leading ...
  37. [37]
    CHIPs Act Update: US Secures Domestic Production of Chips
    Apr 19, 2024 · On April 15, Samsung Electronics announced up to $6.4 billion in direct funding through 2022's US CHIPS and Science Act.
  38. [38]
    Samsung Opens the Gate to Transistor Performance, Power, and ...
    Jan 31, 2023 · Samsung Opens the Gate to Transistor Performance, Power, and Area Improvements with MBCFET. This article is part of an in-depth series on the ...
  39. [39]
    CFET (complementary FET) - IMEC
    Jun 16, 2022 · CFET is an attractive device architecture for beyond 1nm logic. Imec explores two different integration schemes: monolithic and sequential.
  40. [40]
    Statistical analysis of vertically stacked nanosheet complementary ...
    The IMEC has proposed a novel device called the complementary FET (CFET) [3], which consists of a PMOS transistor on top of an NMOS transistor, and vice versa.
  41. [41]
    High-NA EUV lithography: the next step after EUVL - IMEC
    Oct 4, 2021 · High-NA EUV lithography is a next-generation technology using a 13.5nm wavelength, moving from 0.33NA to 0.55NA, aiming to advance Moore's Law ...Missing: extensions 3nm<|control11|><|separator|>
  42. [42]
    Clash of the Foundries: Gate All Around + Backside Power at 2nm
    Oct 1, 2024 · ... backside power delivery (BSPDN or backside power delivery ... IR drop is also significantly reduced with up to 20% power improvement possible.<|separator|>
  43. [43]
    [PDF] Characterization, integration and reliability of HfO2 and LaLuO3 ...
    The equivalent oxide thickness of HfO2 gate stacks is scalable below 1 nm by the use of thinned interfacial SiO2. The prevention of oxygen incorporation into ...
  44. [44]
  45. [45]
    The End Of Copper Interconnects? - Semiconductor Engineering
    Aug 21, 2025 · As a primary conductor, ruthenium surpasses copper's conductivity for line CDs of 17nm or less, and has excellent electromigration resistance.
  46. [46]
    [News] TSMC Reveals N2 Nanosheet Details: 35% Power Savings ...
    Dec 16, 2024 · TSMC's new 2nm technology delivers up to 15% faster performance or up to 35% greater energy efficiency compared to its current 3nm process.Missing: specifications | Show results with:specifications
  47. [47]
    TSMC Offers a Peek at Its Cutting-Edge 2nm Process | Extremetech
    Dec 18, 2024 · N2 NanoFlex and GAA nanosheet transistors make TSMC's 1.15x increase in chip density possible.Missing: specifications | Show results with:specifications<|separator|>
  48. [48]
    TSMCs 2nm nodes get NanoFlex, N2P loses backside power delivery
    Apr 25, 2024 · Additionally, the transition to the N2 node is projected to increase chip density by approximately 1.15 times, a significant improvement. In ...
  49. [49]
    TSMC N2 Process Technology Wiki - SemiWiki
    Jul 14, 2025 · With risk production already in motion and volume production expected in 2025–2026, N2 will power the most advanced chips in the coming decade— ...Missing: details | Show results with:details
  50. [50]
  51. [51]
    Advanced Technologies for HPC - Taiwan Semiconductor ...
    N2P delivers an 18% speed improvement at the same power, a 36% power reduction at the same speed, 1.2 times logic density, and 1.15 times chip density over N3E.Missing: variants 2026
  52. [52]
    MediaTek Completes First 2nm Tape-Out as Apple Preps A20, M6, R2
    Sep 16, 2025 · With TSMC's 2nm process set for mass production in H2 2025, several major clients are already on board, and Taiwan's MediaTek is the latest ...Missing: N2 | Show results with:N2
  53. [53]
    TSMC's 2nm N2 process node enters production this year, A16 and ...
    Apr 24, 2025 · TSMC first GAA-based N2 process will enter HVM in the second half of 2025 with strong early adoption from both mobile and HPC/AI sectors.Missing: variant | Show results with:variant
  54. [54]
    TSMC 2nm yields push past 90% as wafer costs reach ... - OC3D
    Jun 3, 2025 · Wafer costs are going through the roof at TSMC, the 2nm wafers costing $30000. That's a 66% increase over 3nm, and it will only get worse.
  55. [55]
    TSMC's 2nm Customers Can Take A Breather; Wafers Reportedly ...
    Oct 8, 2025 · The $30,000 price tag will remain unchanged on 2nm N2 wafers, as TSMC was earlier said to start mass production in the final quarter of 2025, ...
  56. [56]
    Samsung 2nm Process Technology Wiki - SemiWiki
    Jul 13, 2025 · Samsung's 2nm technology is positioned to compete directly with TSMC's N2 and Intel's 18A, both of which also use GAA transistor architectures.Missing: details | Show results with:details
  57. [57]
    Samsung Versus TSMC Versus Intel | NextBigFuture.com
    Jul 29, 2025 · Risk production began in July 2024, with strong demand from clients ... TSMC's N3P, an optical shrink of N3E, entered volume production in H2 2024 ...
  58. [58]
    Samsung resumes $17B Texas chip plant construction
    Sep 1, 2025 · This investment will cover new equipment and a major hiring initiative for the facility. New equipment and hiring push for advanced chip ...
  59. [59]
    Samsung could produce cutting-edge chips in the U.S. two years ...
    Jun 23, 2025 · Samsung Foundry will be the first to produce 2nm chips on US soil, two years ahead of TSMC. By Alan Friedman Published: Jun 23, 2025, 2:03 PM
  60. [60]
    Samsung bets its 2nm comeback on Qualcomm to challenge ...
    Oct 16, 2025 · Samsung bets its 2nm comeback on Qualcomm to challenge TSMC's grip ... In a high-stakes bid to reclaim lost ground in the semiconductor arms race, ...
  61. [61]
    Samsung Working on 2nm Snapdragon 8 Elite Gen 5, Samples ...
    Oct 10, 2025 · Samsung's 2nm process could power Qualcomm's flagship chip. Qualcomm's latest flagship mobile chip, Snapdragon 8 Elite Gen 5, is already ...
  62. [62]
    Samsung expands EUV fleet to seize upcoming memory supercycle
    Oct 18, 2025 · Samsung Electronics is reportedly ramping up investment in extreme ultraviolet (EUV) lithography, planning to add five more systems for its ...
  63. [63]
    Samsung cuts 2nm wafer pricing bringing it down to ... - SemiWiki
    Oct 2, 2025 · Samsung recently secured a $16.5 billion deal with Tesla to produce next-gen AI chips, potentially utilizing the 2nm node at its Texas facility.Samsung to Produce Tesla Chips in $16.5 Billion Multiyear DealSamsung Reportedly Delays Its Texas Fab, Mulling Upgrade from ...More results from semiwiki.com
  64. [64]
    Intel Backside Power Delivery (PowerVia) Wiki - SemiWiki
    Jul 13, 2025 · PowerVia is part of Intel's Intel 20A process node, which also introduces RibbonFET, the company's first gate-all-around (GAA) transistor design ...
  65. [65]
    Intel Foundry Achieves Major Milestones
    Aug 6, 2024 · Intel 18A, Intel Foundry's leading-edge process node, is on track for production in 2025. With RibbonFET and PowerVia, foundry customers will unlock greater ...Missing: 20A GAA BSPDN
  66. [66]
    Intel's process roadmap to 2025: Intel 7, 4, 3, 20A, and 18A explained
    20A (the company's 2nm process) is said to be where Intel will reach "process parity" and was set to debut with Arrow Lake with the company's first usage of ...
  67. [67]
    Rapidus and IBM reach new milestone on 2 nm chip production
    Dec 9, 2024 · Rapidus and IBM move closer to scaling out 2 nm chip production. A new chip construction process, called selective layer reductions, is helping ...Missing: trials 2026
  68. [68]
    Overcoming the challenge of 2nm development lies the path to a ...
    Aug 8, 2025 · On July 18, 2025, Rapidus unveiled its first wafer featuring a gate-all-around (GAA) transistor fabricated using a 2 nanometer (nm) process.Missing: progress | Show results with:progress
  69. [69]
    Rapidus showcases 2nm chip prototypes, eying 2027 mass production
    Jul 18, 2025 · In a bid to faithfully reproduce IBM's 2nm process technology, Rapidus has instituted 24-hour shifts, allowing engineers to continuously ...
  70. [70]
    First design pathfinding PDK for N2 node - IMEC
    Feb 16, 2024 · Our collaboration with imec to deliver a certified, AI-driven EDA digital design flow for its N2 PDK enables design teams to prototype and ...
  71. [71]
    GlobalFoundries Announces $16B U.S. Investment to Reshore ...
    Jun 4, 2025 · A $16 billion plan to strengthen US semiconductor leadership and accelerate innovation in AI, aerospace, automotive and high-performance communications.
  72. [72]
    US penalizes two Chinese companies that acquired tools ... - Reuters
    Sep 12, 2025 · The United States on Friday penalized two Chinese firms that acquired U.S. chipmaking equipment for China's top chipmaker SMIC, ...
  73. [73]
    Huawei's New Laptop Adds to Evidence of Stalled Chip Advance
    Jun 23, 2025 · Industry leader Taiwan Semiconductor Manufacturing Co. is expected to start mass producing 2nm chips, which is three generations ahead of 7nm, ...
  74. [74]
    Fab Whack-A-Mole: Chinese Companies are Evading U.S. Sanctions
    Oct 28, 2024 · The current gap in advanced logic is approximately 5 years, with SMIC's N+2 process shipping in 2023 versus TSMC's N5 in 2020 and N7 in 2018.
  75. [75]
    Intel's 18A and TSMC's N2 process nodes compared
    Feb 13, 2025 · Analysts at TechInsights believe that TSMC's N2 offers a high-density (HD) standard-cell transistor density of 313 MTr/mm^2, which far exceeds ...
  76. [76]
    Logic - Research at TSMC - Taiwan Semiconductor Manufacturing
    N2 delivers a full node benefit from previous 3nm node [2] in offering 15% speed gain or 30% power reduction with >1.15x chip density increase. N2 platform ...
  77. [77]
    TSMC's 2nm Roadmap Signals $3 Trillion in Growth
    Jul 31, 2025 · TSMC's 2nm chip node offers a 25–30% reduction in power consumption compared to its 3nm chips when running at the same performance level.
  78. [78]
    TSMC's 2nm Technology and Its Path to a $3 Trillion Valuation
    Aug 6, 2025 · - TSMC's 2nm technology, set for 2025 mass production, offers 10-15% speed gains and 25-30% power reduction, positioning it as a semiconductor ...<|separator|>
  79. [79]
    IEDM 2025 – TSMC 2nm Process Disclosure – How Does it...
    Feb 10, 2025 · The 2nm process is reported to deliver a 30% power improvement and a 15% performance gain compared to TSMC's previous 3nm node. TSMC's 2nm ...
  80. [80]
    SRAM scaling isn't dead after all — TSMC's 2nm process tech ...
    Oct 31, 2024 · TSMC's N2 fabrication process shrinks SRAM bit cell size, increases SRAM density to ~38 Mb/mm^2.
  81. [81]
    TSMC's N2 process has a major advantage over Intel's 18A - Yahoo
    Dec 4, 2024 · By contrast, TSMC's N2 manufacturing technology shrinks HD SRAM bit cell size to around 0.0175 µm^2, enabling SRAM density of 38 Mb/mm^2.
  82. [82]
    TSMC shares deep-dive details about its cutting edge 2nm process ...
    Dec 14, 2024 · With N2, TSMC has managed to achieve a record 2nm SRAM density of about 38Mb/mm^2. In addition to hitting record SRAM density, TSMC also lowered ...
  83. [83]
    TSMC N2 specs improve, while Intel 18A gets worse - SemiWiki
    Dec 17, 2024 · TSMC revealed additional details about the N2 node at IEDM: 24% to 35% power reduction, 14% - 15% performance improvement at the same voltage, and 1.15X higher ...
  84. [84]
    2nm Process Race Begins - Semiecosystem - Substack
    Dec 10, 2024 · So, starting at the 2nm node (N2) in 2025, TSMC will migrate to a new transistor type called the nanosheet FET. It's also known as a gate-all- ...
  85. [85]
  86. [86]
    MediaTek's New 2nm Flagship Chip Has Officially Taped Out
    Sep 16, 2025 · MediaTek has successfully completed the design for a new flagship chip—possibly the Dimensity 9600—made on TSMC's cutting-edge 2nm process.
  87. [87]
    MediaTek Develops Chip Utilizing TSMC's 2nm Process, Achieving ...
    Sep 16, 2025 · The first chipset utilizing the new TSMC N2P process is expected to be available in late 2026. Compared with the current-generation N3E process, ...
  88. [88]
    Apple taking half of TSMC's 2nm chip capacity when production hits ...
    Aug 27, 2025 · Apple supplier TSMC is anticipated to start full-scale production of 2-nanometer chips by the end of 2025, with Apple apparently occupying close ...
  89. [89]
    Apple A20 And A20 Pro, The iPhone's First 2nm Chipsets - Wccftech
    Oct 25, 2025 · Apple will likely have a total of three A20 and A20 Pro chipsets powering the new iPhones in 2026. Information surrounding the number of GPU ...
  90. [90]
    [News] Apple Reportedly Takes Most of TSMC's 2nm Capacity for ...
    Oct 28, 2025 · As per cnBeta, the A20 series is expected to be the first iPhone processor built on TSMC's 2nm process, succeeding the 3nm-based A17 Pro through ...
  91. [91]
    TSMC's first 2 nm Node Customers are Apple, AMD, NVIDIA, and ...
    Sep 16, 2025 · Tape-out of the "Zen 6" CCD completed in April 2025, and mass-production is expected in 2026; while Dimensity 9600 just finished tape-out ...
  92. [92]
    AMD will beat Nvidia to launching AI GPUs on the cutting-edge 2nm ...
    Instinct MI450 is officially the first AMD GPU to launch with TSMC's ...
  93. [93]
    HPC Customers Flock to TSMC and Its 2nm Process - HPCwire
    Sep 26, 2025 · Reports indicate that AMD would use the 3nm process for the Instinct MI450 AI, which will use ship in the second half of 2026 and feature 288 GB ...<|separator|>
  94. [94]
    Samsung, TSMC race to launch 2nm chip tech by 2025
    Jun 16, 2025 · TSMC's reported 60% yield rate for 2nm chips represents a critical threshold for viable mass production, while Samsung's 40% rate explains why ...Missing: costs devices
  95. [95]
  96. [96]
  97. [97]
    TSMC sets 2nm wafer price at $30,000, far below earlier ... - TechNode
    TSMC has finalized the pricing for its upcoming 2nm process, setting the wafer price at around $30,000. This marks a 10%–20% increase ...
  98. [98]
    Samsung Lowers 2nm Chip Prices Amid Intense Competition from ...
    Sep 27, 2025 · Samsung Electronics has announced a price reduction for its 2nm (SF2) process wafers, adjusting the cost to $20,000 per wafer.
  99. [99]
  100. [100]
  101. [101]
    EU pushes for Chips Act 2.0 investment as it looks set to miss global ...
    Sep 29, 2025 · The EU's Chips Act budgeted 43 billion euros ($50.4 billion) in semiconductor manufacturing, chip design, and better supply chain monitoring ...Missing: process | Show results with:process
  102. [102]
    A World of Chips Acts: The Future of U.S.-EU Semiconductor ... - CSIS
    Aug 20, 2024 · The CHIPS Act authorized and appropriated $39 billion in grants, loans, and loan guarantees for chip manufacturing on U.S. soil. The CHIPS Act ...
  103. [103]
    MediaTek's First 2nm Flagship SoC Using TSMC's N2P Process
    Sep 19, 2025 · MediaTek 2nm SoC built on TSMC's N2P process targets late 2026 mass production, delivering higher performance, efficiency, and logic ...
  104. [104]
    AI Chips Market Size, Share and Forecast, 2025-2032
    Jul 11, 2025 · The Global AI Chips Market is estimated to be valued at USD 83.80 Bn in 2025 and is expected to reach USD 459.00 Bn by 2032, exhibiting a ...
  105. [105]
    Global Semiconductor Sales in 2025: A Record Breaking Year ...
    Aug 20, 2025 · Global semiconductor sales are projected to reach $700.9 billion in 2025, with equipment sales at $125.5 billion.
  106. [106]
    TSMC 2nm Process Wafers Priced at $30,000 with 60% Initial Yield
    Aug 19, 2025 · Reports suggest that the initial yield rate for its 2nm nodes is around 60–65%, with SRAM modules achieving yields of over 90%. These numbers ...
  107. [107]
    Samsung Race to Master Advanced 2nm Chips by Early 2026
    Jul 7, 2025 · Samsung is pushing to achieve a 70% yield rate for its advanced 2nm chips within the next six months to attract major clients.<|separator|>
  108. [108]
    TSMC's 2nm Capacity Completely Sold Out At Two Local Plants For ...
    Oct 13, 2025 · The yields are said to be at 70 percent, which is puzzling to learn because TSMC's trial production run that we talked about last year achieved ...
  109. [109]
    The Future of Semiconductor Scaling: Beyond 2nm Chips (Market ...
    Oct 25, 2025 · Despite efforts to increase semiconductor production, supply chain disruptions and geopolitical tensions continue to impact chip availability.
  110. [110]
    Analytical modeling of the gate tunneling leakage for the ...
    Aggressive scaling of CMOS technology in recent years has reduced the silicon dioxide gate dielectric thickness below 2 nm. Finding an alternative gate material ...Missing: mitigation | Show results with:mitigation
  111. [111]
    [PDF] High-k Gate Dielectrics - The Electrochemical Society
    The direct tunneling current increases exponentially as the tunneling gate oxide becomes thinner. Markers depict the data from quantum mechanical calculation ...Missing: challenges | Show results with:challenges
  112. [112]
    Quantum mechanical modeling of MOSFET gate leakage for high-k ...
    Simulation results show that high-k dielectrics such as HfO2, Al2O3, La2O3 demonstrate significant gate leakage reduction. Introduction. Aggressive scaling of ...Missing: mitigation | Show results with:mitigation
  113. [113]
    Predicting EUV Stochastic Defect Density - SemiWiki
    Dec 5, 2022 · For the 40 nm pitch case, a 1e-9 defective pixel rate with 0.4 nm/pixel and 125 pixels/defect gives 50 defects/cm2. These values are comparable ...Missing: noise <0.1
  114. [114]
    Finding, Predicting EUV Stochastic Defects
    Jun 17, 2021 · “Stochastic-induced defectivity has improved significantly with production implementation and resist capability, however, it does still occur.
  115. [115]
    Thermal Management of GaN-on-Si High Electron Mobility ... - Nature
    Dec 23, 2019 · We reported a micro-trench structure fabricated on the silicon substrate of an AlGaN/GaN high electron mobility transistor (HEMT) via deep reactive ion etching.
  116. [116]
    (PDF) Thermal Management of GaN-on-Si High Electron Mobility ...
    Dec 5, 2019 · Using Micro-Raman thermometry, we showed that temperature near the drain edge of the channel can be lowered by approximately ~22 °C in a HEMT ...
  117. [117]
    Edge Placement Error (EPE) - Semiconductor Engineering
    EPE is the difference between the intended and the printed features of an IC layout. It involves patterning of tiny features in precise locations.
  118. [118]
  119. [119]
    Machine Learning Based Edge Placement Error Analysis and ...
    It is essential to reduce the edge placement error (EPE) to maintain the performance and high yield of a device [2, 3]. EPE serves as a metric for quantifying ...
  120. [120]
    Tech Forecast: Fab Processes To Watch Through 2040
    Mar 16, 2023 · Imec's roadmap calls for implementing gate-all-around FETs (nanosheet transistors) in 2024, followed by forksheet FETs in 2028, and CFETs possibly in 2032.
  121. [121]
    2023 IRDS Chairman's Editorial
    Apr 25, 2023 · The International Roadmap for Semiconductors (ITRS) and its evolution to the. International Roadmap for Devices and Systems (IRDS) provided ...
  122. [122]
    TSMC's says 1.6nm node to be production ready in late 2026
    Nov 22, 2024 · The company is ready to mass produce chips on its N2 (2nm-class) manufacturing technology starting in late 2025 and A16 (1.6nm-class) fabrication process in ...
  123. [123]
    Intel 18A Node Explained: How RibbonFET Boosts AI Scalability
    Oct 18, 2024 · Part of Intel's roadmap to compete with TSMC and Samsung, this node will help Intel leapfrog the competition in the 3nm and 2nm process space.
  124. [124]
    [News] Samsung Reportedly Prioritizes 2nm/4nm Improvements ...
    Jun 25, 2025 · With key rivals TSMC and Intel aiming for 2nm and 18A mass production in the second half of 2025, Samsung is reportedly adjusting its business ...Missing: details | Show results with:details
  125. [125]
    Outer wall forksheet: bridging nanosheet and CFET - IMEC
    Jun 11, 2025 · The main feature of the GAA nanosheet device is the vertical stacking of two or more nanosheet-shaped conduction channels, with one stack ...Missing: double | Show results with:double
  126. [126]
    Two-dimensional semiconductor transistors and integrated circuits ...
    The International Roadmap for Devices and Systems (IRDS) projects the introduction of 2D semiconductors as channel materials in 0.7 nm nodes in 2034, in a ...
  127. [127]
    Imec achieves new milestones in single patterning High NA EUV
    Sep 22, 2025 · Imec demonstrates line structures at 20nm pitch with 13nm tip-to-tip dimensions relevant for damascene metallization, as well as 20nm and ...
  128. [128]
    Curvilinear technology | imec
    May 22, 2025 · Curvilinear technology: a game changer for the logic technology roadmap. Imec enables the 'curvilinear' manufacturing ecosystem – from design ...
  129. [129]
    Rapidus 2HP Reportedly Surpasses Intel 18A Logic Density
    Reports Rapidus 2HP logic density at 237.31 MTr/mm² and compares to TSMC N2 at 236.17 MTr/mm².
  130. [130]
    TSMC shares deep-dive details about its cutting edge 2nm process
    Details TSMC N2 SRAM density at 38 Mb/mm².
  131. [131]
    Samsung 2nm Process Technology Wiki
    Provides estimated gate pitch for Samsung SF2 and other specs.
  132. [132]
    Intel announces cancellation of 20A process node
    Confirms cancellation of Intel 20A for foundry customers.
  133. [133]
    Intel details 18A process technology
    Outlines Intel 18A density gain of 30%, performance uplift of 25%, and power reduction of 36%.
  134. [134]
    Rapidus 2nm Process Technology Wiki
    Provides metal pitch estimate for Rapidus 2nm.
  135. [135]
    Intel's 18A and TSMC's N2 process nodes compared
    Article from Tom's Hardware comparing Intel 18A and TSMC N2 process nodes, citing TechInsights data for logic densities of 238 MTr/mm² for Intel 18A and 313 MTr/mm² for TSMC N2 high-density configurations.