Tokyo Electron
Tokyo Electron Limited is a Japanese multinational electronics and semiconductor equipment manufacturer headquartered in Akasaka, Minato-ku, Tokyo.[1] Founded on November 11, 1963, as Tokyo Electron Laboratories, Inc., initially as an affiliate of Tokyo Broadcasting System, the company develops, manufactures, and sells advanced equipment essential for semiconductor fabrication processes, including coater/developer systems, plasma etching, cleaning, deposition, and testing tools.[2][1][3] As a leading global supplier in the semiconductor production equipment sector—ranking fourth worldwide behind ASML, Applied Materials, and Lam Research—Tokyo Electron supports the creation of integrated circuits, flat panel displays, and photovoltaic cells through innovative system solutions for sequential patterning processes.[4][5] The firm has achieved recognition as a Clarivate Top 100 Global Innovator for 2023, highlighting its sustained contributions to technological advancement in electronics manufacturing.[2] Under President and CEO Toshiki Kawai, Tokyo Electron operates worldwide, emphasizing R&D to address demands from AI, high-performance computing, and other high-growth applications in the semiconductor industry.[1][6]
History
Founding and Early Development (1963–1979)
Tokyo Electron Laboratories, Inc. was established on November 11, 1963, in Akasaka, Minato-ku, Tokyo, with initial capital of five million yen as an affiliate of Tokyo Broadcasting System.[1][2] The company was founded by Tokuo Kubo and Toshio Kodaka, who recognized the potential of semiconductors and initiated operations focused on importing and distributing specialized equipment.[2] Early activities centered on sales of diffusion furnaces, leak detectors, and integrated circuit (IC) production systems, marking Tokyo Electron's entry into the nascent semiconductor supply chain.[2] In 1964, the company secured rights to import and sell diffusion furnaces from U.S.-based Thermco Products Corp., establishing a foothold in semiconductor manufacturing tools.[2] This was followed in 1965 by an agency agreement with Fairchild Semiconductor Corp. to distribute IC testers in Japan, with actual imports and sales commencing the next year.[2] By 1967, Tokyo Electron expanded distribution capabilities through Pan Electron Inc., Japan's first stocking distributor for imported electronic parts, and opened a San Francisco office to facilitate U.S. sourcing.[2] A pivotal shift toward domestic production occurred in 1968 with the formation of a joint venture, TEL-Thermco Engineering Co., Ltd., alongside Thermco Products Corp., enabling the manufacture of diffusion furnaces in Japan; an Osaka branch office was also established that year.[2] The 1970s saw further diversification and international outreach. In 1969, a Yokohama office opened, and Teltron Co., Ltd. was created for exporting car stereo original equipment manufacturer (OEM) products, though consumer electronics efforts were later curtailed.[2] Subsidiary formations accelerated, including MEC Engineering Inc. in 1970 for engineering solutions and TEL Engineering Inc. in 1971, alongside agency deals for line printers and CAD/CAM systems from U.S. firms like Computervision Inc.[2] By 1972, Tokyo Process Development Inc. handled analytical equipment sales, Pan Electron became an Intel Corp. agent for microprocessors, and TEL America, Inc. was founded to bolster U.S. operations.[2] Offices expanded to Yamanashi and Europe in 1973, and in 1974, the company received a sales expansion award from Fairchild Semiconductor.[2] Focusing on core strengths, Tokyo Electron withdrew from consumer electronic goods production and exports in 1975.[2] Technological advancements included TEL-Thermco's development of the world's first high-pressure oxidation furnace in 1976.[2] Agency agreements proliferated, such as with KLA in 1977 for inspection tools and Varian Associates Inc. in 1978, coinciding with Japan's inaugural SEMICON Japan event and the establishment of the country's first IC test center.[2] That year, the company rebranded to Tokyo Electron Ltd., reflecting its evolution from a trading entity to a key player in semiconductor equipment.[2]Growth and International Expansion (1980–1999)
During the 1980s, Tokyo Electron experienced significant domestic growth, marked by its listing on the Second Section of the Tokyo Stock Exchange in 1980, which provided capital for operational expansion, and an agency agreement with U.S.-based Advanced Micro Devices (AMD) for semiconductor equipment distribution.[2] The company formed key joint ventures to localize advanced technologies, including TEL-GenRad Ltd. in 1981 with Genrad Inc. for in-circuit board testers, TEL-Varian Ltd. in 1982 for ion implantation devices, and TEL-Lam Ltd. in 1983 with Lam Research for dry etching systems, enabling Japan-based production of these tools.[2] By 1984, it advanced to the First Section of the Tokyo Stock Exchange, reflecting strengthened financial position, while establishing research facilities like the Central Research Laboratory in Nirasaki (completed 1986) and regional offices in Kyushu (1983), Tohoku (1985), and Fuchu (1987).[2] Exports of semiconductor equipment commenced in 1986, and subsidiaries such as TEL Tohoku Electronics Ltd. (1986), TEL Kyushu Ltd. (1987), and TEL Sagami Ltd. (1988, fully acquiring TEL-Thermco for diffusion furnaces) supported scaled production.[2] By 1989, Tokyo Electron attained top sales among Japanese semiconductor production equipment manufacturers and established Varian-TEL Ltd. in California, marking its initial U.S. manufacturing presence.[2] This period's achievements included three consecutive years of leading sales by 1991 and entry into the flat panel display equipment market in 1990, with subsidiaries like Tokyo Electron FE Ltd. and Tokyo Electron Device Ltd. formed to handle specialized thin-film and device operations.[2] The 1990s accelerated international expansion, building on 1980-initiated overseas operations, with vigorous subsidiary growth forming a global network across the U.S., Europe, and Asia.[2][7] Key establishments included Tokyo Electron Europe Ltd. (1994), Tokyo Electron FE Korea Ltd. (1993) and Korea Ltd. (1995), Tokyo Electron Taiwan Ltd. (1996), and multiple U.S. entities such as Oregon Inc. (1995, the first overseas production site), Massachusetts Inc., Phoenix Laboratories Inc., and Texas Inc. (all 1996), plus Arizona Inc. (1998).[2] Further outposts like EE Ltd. and Israel Ltd. (1998) extended reach, while product advancements, including the first single-wafer CVD system shipment (1994) and 300mm wafer process center completion (1998), underpinned technological competitiveness amid global demand.[2] These moves diversified revenue beyond Japan and integrated international supply chains, contributing to sustained market leadership.[2]Modern Era and Technological Advancements (2000–present)
In the early 2000s, Tokyo Electron continued its expansion in semiconductor production equipment through strategic acquisitions and product milestones, including the purchase of Supercritical Systems Inc. in 2000 to bolster cleaning technologies and the shipment of its 1,000th CLEAN TRACK™ ACT™ 8 coater/developer system.[2] The company invested ¥37.1 billion in research and development during fiscal year 2000, equivalent to approximately 10% of its semiconductor production equipment division sales, sustaining innovation amid market fluctuations.[8] Further acquisitions, such as Timbre Technologies Inc. in 2001 for metrology enhancements and Epion Inc. in 2006 for gas cluster ion beam technology, expanded its portfolio in surface preparation and advanced processing.[2] Mid-decade developments emphasized thermal processing and probing equipment, with the shipment of the 10,000th vertical-type thermal system in 2006 and the 20,000th wafer prober in 2007.[2] In 2009, Tokyo Electron entered the photovoltaic production equipment market but withdrew in 2014 to refocus on core semiconductor technologies.[2] A proposed merger of equals with Applied Materials, Inc., announced in 2013 and valued at approximately $29 billion, aimed to create a dominant global supplier but was terminated in 2015 due to U.S. Department of Justice antitrust concerns, allowing Tokyo Electron to pursue independent growth.[9] [10] Post-merger, the company rebranded as "New TEL" in 2015 with updated vision and logo, while products like the CELLESTA™ -i MD cleaning system and EXIM™ sputtering system earned "Semiconductor of the Year" awards in 2014 and 2015, respectively, for advancements in contamination control and metallization.[2] From the late 2010s onward, Tokyo Electron accelerated advancements in etching and integration technologies, shipping its 5,000th etch process chamber in 2016 and developing STT-MRAM production equipment in collaboration with Tohoku University in 2012.[2] Acquisitions such as FSI International in 2012 for $253 million strengthened single-wafer cleaning capabilities.[11] Research and development expenditures reached a record 202.8 billion yen in the fiscal year ended March 2024, reflecting a 6.1% year-over-year increase to support next-generation nodes.[12] Recent innovations include a 2023 memory channel hole etch process for 3D NAND Flash memory, reducing global warming potential by 84%, and extreme laser lift-off technology for 3D device integration.[2] In April 2025, Tokyo Electron renewed a five-year collaboration with IBM to advance technologies for sub-2nm nodes and novel architectures.[13] The company plans to invest over 1.5 trillion yen in R&D from fiscal 2025 through 2029, alongside establishing a semiconductor development center in Bengaluru, India, in 2025 for software, simulation, and equipment design.[14] [15] These efforts position Tokyo Electron to lead in high-performance computing and energy-efficient semiconductors amid global demand for AI and decarbonization.[2]Products and Services
Semiconductor Production Equipment
Tokyo Electron manufactures equipment for critical stages of semiconductor wafer fabrication, encompassing deposition of thin films, photoresist coating and development for lithography, plasma etching, wet and dry cleaning, front-end testing, and bonding for advanced 3D integration.[3] These systems enable the creation of intricate multi-layer circuits on silicon wafers through physical and chemical processes, supporting scaling to nanometer-level features.[3] The company's offerings address sequential patterning steps, from material layering to pattern definition and purification, positioning TEL as a key enabler of logic, memory, and power device production.[3] In deposition, TEL provides tools for forming dielectric and metal films as thin as 1-10 nm, including thermal oxide/anneal systems, chemical vapor deposition (CVD), advanced sequential flow deposition (ASFD), atomic layer deposition (ALD), and physical vapor deposition (PVD).[3] Etch equipment delivers high-aspect-ratio etching with precise anisotropy and material selectivity to sculpt circuit patterns, where TEL ranks second globally in dry etch systems.[3] Cleaning solutions, also holding second-place market share, remove particles and residues between process steps to prevent defects and ensure yield.[3] For lithography, coater/developer platforms like the LITHIUS series apply and remove photoresist, achieving approximately 90% global market share overall and near 100% in high numerical aperture (NA) processes critical for sub-2 nm nodes.[3] Testing tools, such as the Precio series, verify device integrity during front-end fabrication.[3] Advanced capabilities include bonding/debonding for 3D stacking, silicon carbide (SiC) epitaxial CVD for power semiconductors, and gas cluster beam systems for nanoscale surface modification.[16][3] TEL's semiconductor equipment portfolio mirrors that of diversified peers like Applied Materials, contributing to its status as the fourth-largest supplier worldwide as of 2024, behind ASML, Applied Materials, and Lam Research.[5][17] This breadth supports customer transitions to AI-driven chips and high-bandwidth memory, with ongoing emphasis on uniformity, throughput, and defect reduction.[3]Flat Panel Display and Photovoltaic Equipment
Tokyo Electron supplies flat panel display (FPD) production equipment, including coaters/developers and plasma etch/ash systems, adapted from its semiconductor manufacturing technologies to support the fabrication of LCD and OLED panels.[18] These systems enable precise thin-film deposition, patterning, and etching on large glass substrates, contributing to higher yields and efficiency in display manufacturing for applications such as televisions, monitors, and mobile devices.[19] A key product line includes FPD plasma etch/ash systems, which utilize plasma processes to etch silicon, insulating, and metal layers on glass substrates, essential for circuit formation in displays.[19] In December 2021, Tokyo Electron launched the PICP™ Pro plasma etch system, designed for 8th-generation glass substrates (approximately 2,160 mm × 2,460 mm), featuring high-density plasma technology to minimize particle generation and enhance yield rates for large-scale OLED and LCD production.[20] Sales of FPD equipment remained part of the company's operations as of fiscal year 2023, with export-denominated transactions primarily in yen.[21] In the photovoltaic (PV) sector, Tokyo Electron entered thin-film silicon PV panel production equipment development through a February 2008 joint venture with Sharp Corporation to create high-productivity plasma CVD systems.[22] The company expanded via the November 2012 acquisition of Oerlikon Solar, aiming to leverage expertise in deposition and etching for microcrystalline silicon tandem cells.[23] However, facing persistent market challenges including low conversion efficiencies and uncompetitive costs relative to crystalline silicon alternatives, Tokyo Electron announced its withdrawal from PV panel manufacturing equipment business in January 2014.[24] Post-withdrawal, Tokyo Electron maintains limited involvement through TEL Solar Services AG, which provides field support and maintenance for existing PV production equipment installations, headquartered in Switzerland with President Akifumi Matsumura overseeing operations.[25] This service-oriented approach reflects a strategic pivot away from active PV equipment development amid subdued global demand for thin-film technologies.[24]Services and Solutions
Tokyo Electron offers advanced field solutions that provide technical services and support throughout the equipment lifecycle, from pre-delivery to post-installation operations. These solutions draw on the company's industry-leading installed base exceeding 86,000 units to deliver efficient maintenance and optimization, incorporating AI, digital technologies, and knowledge management systems for sharing expertise and driving productivity improvements.[26] Engineering services under the TEL Service Advantage program include customizable maintenance plans designed to enhance equipment uptime and efficiency. Key components encompass on-site field support, resident engineers stationed at customer fabrication facilities, pre-paid banks of service hours for priority responses, periodic maintenance schedules, and remote diagnostics via the TELeMetrics™ system, which processes real-time equipment data for predictive maintenance, throughput optimization, and fault prediction.[27] The TELCustomer.com portal further enables 24/7 access to equipment documentation, spare parts ordering, service requests, and training resources.[27] Spare parts services supply genuine components vetted through rigorous quality controls to sustain peak performance and minimize cost of ownership, supported by a global inventory network with warehouses in regions including Japan and the United States for rapid delivery to customer sites.[28] Repair operations utilize certified technicians and localized facilities to accelerate turnaround times while upholding OEM standards.[28] Complementary offerings include upgrades and modifications to retrofit equipment for advanced processes, as well as used equipment solutions featuring procurement assistance, performance warranties, and sustained support contracts.[29][30]Corporate Structure
Group Companies and Subsidiaries
Tokyo Electron Limited, the parent company, oversees a group structure comprising 26 consolidated subsidiaries, with operations spanning manufacturing, research and development in Japan and sales, service, and regional management abroad.[31] Of these, six are domestic in Japan, focusing on core production and technological functions, while 20 are overseas entities supporting global market presence.[31] Key Japanese subsidiaries include Tokyo Electron Technology Solutions Ltd., Tokyo Electron Kyushu Ltd., Tokyo Electron Miyagi Ltd., Tokyo Electron FE Ltd., and Tokyo Electron BP Ltd., which handle manufacturing, equipment development, and specialized technology solutions for semiconductor and related production processes.[31] [32] These entities contribute to the group's supply chain efficiency and innovation in wafer processing and deposition technologies. Overseas, major subsidiaries encompass Tokyo Electron America, Inc. for North American sales and support; Tokyo Electron Europe Ltd. managing European operations; Tokyo Electron Korea Ltd. serving the Korean market; Tokyo Electron Taiwan Ltd. focused on Taiwan; Tokyo Electron (Shanghai) Ltd. handling activities in China; and Tokyo Electron Singapore Pte. Ltd. for Southeast Asia.[31] [32] These units facilitate localized customer service, equipment installation, and adaptation to regional semiconductor demands, with the U.S. arm alone coordinating five group companies across 19 sites as of April 2025.[33] The structure enables Tokyo Electron to maintain a consolidated footprint of 25-26 subsidiaries as reported in fiscal year 2025 financials, emphasizing vertical integration in Japan and horizontal expansion internationally.[32]Global Operations and Facilities
Tokyo Electron operates a worldwide network of 26 consolidated companies across 18 countries and regions, encompassing 95 sites as of April 1, 2025, with 6 companies and 30 sites in Japan and 20 companies across 17 countries with 65 sites overseas.[1] The company's global footprint supports sales, service, research and development (R&D), and manufacturing for semiconductor production equipment, emphasizing proximity to key customers in the semiconductor industry.[34] The world headquarters is situated in the Akasaka Biz Tower at 3-1 Akasaka 5-chome, Minato-ku, Tokyo, Japan, serving as the central hub for strategic oversight and administrative functions.[35] In Japan, major facilities include the Miyagi Technology Innovation Center in Taiwa Town, Miyagi Prefecture, dedicated to advancing equipment and production technologies through collaborative R&D, and a newly completed R&D center in Kumamoto Prefecture opened in October 2025, focused on technologies for 1-nanometer chips amid regional semiconductor cluster growth.[36][37] Other domestic sites, such as the TEL Digital Design Square in Sapporo, Hokkaido, emphasize digital transformation, software development, and personnel training.[36] In the Americas, operations are anchored in the United States with headquarters in Austin, Texas, hosting Tokyo Electron U.S. Holdings, Inc., and Tokyo Electron America, Inc., for sales, service, and holding functions; the U.S. network includes 19 sites and 5 group companies.[33] Key facilities comprise the TEL Technology Center, America in Albany, New York—the world's largest integrated R&D center for semiconductor front-end, back-end, and packaging processes, operational for over 20 years—and TEL Manufacturing and Engineering America, Inc., in Chaska, Minnesota, the sole overseas manufacturing site producing equipment components.[33] Additional U.S. collaborations include partnerships with BRIDG in Florida for hardware development and SUNY Poly/NY CREATES in New York for next-generation AI hardware research.[36] Asia-Pacific operations feature subsidiaries such as Tokyo Electron Korea Ltd. for sales and service support, Tokyo Electron Taiwan Ltd. with a dedicated technology center for R&D, and Tokyo Electron (Shanghai) Ltd. in China; manufacturing and R&D extend to Malaysia via Tokyo Electron (Malaysia) Sdn. Bhd.[34] A new semiconductor development center in Bengaluru, India, announced in September 2025, focuses on software development, simulation, and equipment design to bolster regional capabilities.[15] In Europe, Tokyo Electron Europe Ltd. provides sales and service from its headquarters in Crawley, United Kingdom, while R&D collaborations include imec in Belgium for extreme ultraviolet (EUV) lithography processes, CEA-Leti in France, and TEL Magnetic Solutions in Ireland.[34][36]| Region | Key Facilities | Primary Functions |
|---|---|---|
| Japan | Akasaka Biz Tower (HQ), Miyagi Technology Innovation Center, Kumamoto R&D Center | Headquarters, advanced R&D, manufacturing |
| United States | Austin HQ, Albany TEL Technology Center, Chaska Manufacturing | Sales/service, integrated R&D, equipment manufacturing |
| Asia-Pacific | Taiwan Technology Center, Bengaluru Development Center, Shanghai Ltd. | R&D, sales/service, software/equipment design |
| Europe | Crawley HQ (UK), imec collaboration (Belgium) | Sales/service, lithography R&D |
Research and Development
Key Innovations and Patents
Tokyo Electron maintains the largest patent portfolio in the semiconductor production equipment industry, with 24,996 patents owned as of March 31, 2025, encompassing advancements in deposition, etching, cleaning, and coating processes essential for fabricating advanced logic and memory devices.[38] The company filed patents for 1,331 inventions in Japan and 296 overseas in 2024, achieving a global patent application rate of approximately 75% over the past six years, with allowance rates of 77% in Japan and 86% in the United States.[38] This portfolio has been recognized for its technological impact and value, earning Tokyo Electron inclusion in the Clarivate Top 100 Global Innovators for 2025 and the LexisNexis Innovation Momentum Global Top 100.[39][38] Key innovations center on enhancing precision and efficiency in plasma-based processes for sub-2nm nodes, including plasma etching systems like the Tactras™ series, which enable customized solutions for high-aspect-ratio hole etching, trench formation, mask open etching, and back-end-of-line dielectric removal to support complex 3D architectures in chips.[40] In deposition, Tokyo Electron has developed thermal and plasma-enhanced chemical vapor deposition tools such as EXIM™ and LEXIA™-EX, optimizing film uniformity and conformality for gate-all-around transistors and high-mobility materials.[3] Cleaning innovations include the CELLESTA™ series for single-wafer, collapse-free drying with improved productivity and reliability, and the EXPEDIUS™ series for batch processing up to 50 wafers, addressing post-etch residue removal and surface preparation in high-volume manufacturing.[41][42] Notable patents protect adaptive manufacturing controls and hybrid processing methods, such as US8078552B2 for an autonomous system that improves semiconductor quality through real-time process adjustments, and US7993937B2 for DC/RF hybrid systems enabling precise substrate treatment in deposition and etching.[43] These build on Tokyo Electron's strategy of open innovation, including collaborations with research hubs like Imec to accelerate beyond-2nm node development, focusing on sustainable, high-yield equipment for AI-driven and automotive applications.[44] The company's emphasis on comprehensive solutions across the four core patterning steps—deposition, lithography support, etching, and cleaning—differentiates it by minimizing process variability and enabling atomic-scale precision.[3][45]R&D Investments and Collaborations
Tokyo Electron has significantly increased its research and development (R&D) expenditures in recent years to maintain technological leadership in semiconductor manufacturing equipment. In fiscal year 2025 (ending March 2025), the company reported R&D expenses of 250.0 billion yen, marking a 23.2% year-on-year increase from the prior year's 202.8 billion yen, which itself rose 6.1% due to intensified efforts in advanced process technologies.[46][47][12] For fiscal year 2026, Tokyo Electron plans to allocate approximately 295–300 billion yen to R&D, reflecting sustained investment amid demand for AI-driven chip production.[48][49] Over the five years starting from fiscal 2025, the company aims to invest more than 1.5 trillion yen in R&D, nearly doubling prior five-year spending levels to support innovations in sub-2nm nodes and beyond.[50][51] These investments are concentrated at key R&D sites in Japan, supplemented by global facilities, with a focus on collaborative models to accelerate development cycles.[36] Tokyo Electron collaborates with international research consortia, academic institutions, and industry partners to integrate diverse expertise. A prominent example is its extended five-year partnership with imec, renewed in June 2025, aimed at joint R&D for semiconductor processes beyond 2nm, building on prior alliances to enhance patterning and deposition technologies.[52][53] In April 2025, Tokyo Electron renewed its joint R&D agreement with IBM, focusing on advanced semiconductor technologies such as next-generation logic and memory devices, leveraging IBM's computational resources and Tokyo Electron's equipment expertise.[54] Additionally, the company partnered with Tata Electronics in 2025 to develop semiconductor equipment infrastructure for India's emerging fab ecosystem, including training and technology transfer to bolster local manufacturing capabilities.[55] These collaborations emphasize open innovation, with Tokyo Electron participating in events like the 2025 VLSI Technology and Circuits Symposium to share advancements and foster ecosystem-wide progress.[56] Such partnerships mitigate individual R&D risks while aligning with global supply chain needs, though they depend on stable geopolitical conditions for technology sharing.[57]Financial Performance
Revenue and Profit Trends
Tokyo Electron's revenue and profits exhibit cyclical fluctuations tied to global semiconductor capital spending, with expansions during technology upgrades and contractions during inventory corrections and economic slowdowns. In fiscal year 2024 (ended March 31, 2024), net sales declined to 1,830.5 billion Japanese yen amid reduced demand from chipmakers adjusting excess inventories post the prior boom. Operating income fell to 456.2 billion yen, and net income attributable to owners of the parent decreased to 363.9 billion yen.[58] The downturn reversed sharply in fiscal year 2025 (ended March 31, 2025), as demand rebounded for equipment supporting advanced nodes, high-bandwidth memory, and AI infrastructure. Net sales reached a record 2,431.5 billion yen, up 32.8% year-over-year. Operating income climbed 52.8% to 697.3 billion yen, yielding a margin of 28.7%, while net income rose 49.5% to 544.1 billion yen, or 22.4% of sales—all-time highs across these metrics. Gross profit margin also improved to 47.1%, reflecting pricing power and efficiency gains in high-value etch, deposition, and cleaning tools.[58][46]| Fiscal Year (ended March 31) | Net Sales (¥ billion) | Operating Income (¥ billion) | Net Income (¥ billion) |
|---|---|---|---|
| 2024 | 1,830.5 | 456.2 | 363.9 |
| 2025 | 2,431.5 | 697.3 | 544.1 |
Key Financial Metrics and Shareholder Value
Tokyo Electron Limited reported consolidated net sales of ¥2.43 trillion for the trailing twelve months ending in fiscal year 2025 (April 1, 2024–March 31, 2025), reflecting a 32.8% increase from ¥1.83 trillion in the prior fiscal year, driven by strong demand in semiconductor equipment amid global chip market recovery.[59] [46] Net income attributable to owners of the parent reached ¥544.1 billion for fiscal year 2025, a 49.5% year-over-year rise, with operating income also hitting record highs due to improved gross margins from higher-volume production and cost controls.[46] [60] Key profitability metrics underscore operational efficiency: profit margin stood at 22.08%, return on assets (ttm) at 16.89%, and return on equity (ttm) at 29.39%, indicating robust capital utilization in a capital-intensive industry.[61] Earnings per share (diluted) for the period approximated ¥8.06 (adjusted for ADR equivalence), supported by disciplined expense management including ¥250 billion in R&D investments.[62] [46]| Metric | Value (FY2025 ttm) | Notes/Source |
|---|---|---|
| Revenue | ¥2.43 trillion | +32.8% YoY [63] [59] |
| Net Income | ¥536–544 billion | Record high [63] [46] |
| Profit Margin | 22.08% | Gross margin expansion [61] |
| ROE | 29.39% | High efficiency [61] [64] |
| EPS (Diluted) | ¥8.06 | ADR basis [62] |