Fact-checked by Grok 2 weeks ago

32 nm process

The 32 nm process is a fabrication that defines a generation of integrated circuits with a nominal minimum feature size of 32 nanometers, enabling approximately twice the density of the preceding 45 nm while improving power efficiency and performance. This process represented a critical step in scaling, addressing challenges like gate leakage through the adoption of advanced architectures, and was first brought to high-volume manufacturing by in the fourth quarter of 2009 using second-generation high-k (HKMG) transistors with a 30 nm gate length. Key innovations in the 32 nm process included the widespread implementation of HKMG transistors, which utilized high-dielectric-constant (high-k) materials like hafnium-based oxides and metal gates to replace traditional polysilicon and silicon dioxide, reducing equivalent oxide thickness to 0.9 nm and achieving over 22% higher drive current alongside significant leakage reductions compared to 45 nm technology. Intel's implementation also incorporated fourth-generation strained silicon for enhanced carrier mobility, supporting the Nehalem microarchitecture in products like the Core i7, i5, and i3 processors with integrated graphics and memory controllers. TSMC demonstrated its initial 32 nm low-power technology in December 2007, featuring a 0.15 μm² SRAM cell produced via 193 nm immersion lithography with double patterning, optimized for analog, digital, RF, and high-density memory without initial HKMG, though later variants adopted it for improved performance. Following Intel, other foundries rapidly adopted 32 nm capabilities: Samsung qualified its 32 nm low-power HKMG process in June 2010 at its Giheung facility, offering double the logic density of 45 nm, 30% dynamic reduction, and 55% leakage reduction for devices like processors. began 32 nm SOI HKMG production in 2011, achieving a milestone of 250,000 wafers shipped by early 2012, primarily for AMD's Bulldozer-series CPUs. Overall, the 32 nm facilitated the proliferation of multi-core processors, , and power-efficient , paving the way for the 28 nm and 22 nm generations by 2011–2012, with Intel having shipped over half a billion processors using high-k metal gate transistors (from both 45 nm and 32 nm processes) by 2010.

Overview and History

Definition and Significance

The 32 nm process represents a key advancement in complementary metal-oxide-semiconductor (CMOS) fabrication technology, succeeding the 45 nm node and defined by the International Technology Roadmap for Semiconductors (ITRS) as a feature size corresponding to a 32 nm half-pitch for dynamic random-access memory (DRAM) cells or the contacted metal-1 lines. This metric, which measures half the center-to-center spacing between identical features, underscores the node's focus on aggressive scaling to pack more components onto a chip while maintaining manufacturability. Its significance lies in enabling substantially higher transistor densities—such as Intel's achievement of 4.2 megabits per square millimeter in () arrays—compared to prior generations, alongside notable improvements in power efficiency and computational performance for . These gains stemmed from innovations like second-generation high-k transistors, which reduced leakage currents and enhanced drive strength without increasing power draw. By allowing more transistors in smaller areas, the process supported faster, more energy-efficient devices, including processors for laptops and embedded systems. Within the framework of , the 32 nm node was pivotal in upholding the empirical observation of transistor counts doubling approximately every two years through the late 2000s, sustaining in computing capability. Economically, it contributed to smaller die sizes that lowered the cost per , thereby promoting broader accessibility and adoption of advanced semiconductors in personal computers and emerging mobile platforms.

Development Timeline

The development of the 32 nm semiconductor manufacturing process emerged from foundational research in the early , focusing on challenges for high-density integrated circuits. In December 2004, researchers presented a groundbreaking demonstration at the International Electron Devices Meeting (IEDM) of an aggressively scaled 0.143 μm² six- (6T) SRAM cell fabricated using planar silicon-on-insulator (SOI) technology combined with mixed -beam and optical , representing early concepts for the 32 nm node and highlighting potential for continued density improvements. Advancements accelerated in 2007 as industry leaders showcased prototypes and development progress. In September, revealed the first functional 32 nm test chips at its Developer Forum, integrating logic circuitry and (SRAM) elements totaling over 1.9 billion transistors, with playing a key role in enabling the precise patterning required for these demonstrations. Later that year in December, announced its initial 32 nm low-power at IEDM, demonstrating a fully functional 2 Mb test chip using poly-SiON gate dielectrics. By 2009, the focus shifted to scaling production capabilities amid economic challenges. Intel committed $7 billion to upgrade its U.S. fabrication facilities in , , and , enabling the start of volume for its Westmere processor shrink on the 32 nm , with initial output beginning late in the year. In parallel, achieved a commercial milestone by launching the world's first 32 nm chips, including 32 Gbit (4 GiB) density devices, which entered in July and demonstrated the process's viability for high-volume memory applications. Commercial deployment arrived in 2010, marking the transition from prototypes to market-ready products. introduced its Clarkdale desktop processors and Arrandale mobile processors in January, both fabricated on the 32 nm process as part of the Westmere family, delivering improved power efficiency and integrated graphics for consumer computing platforms. entered volume production of 32 nm with high-k variants later that year for enhanced performance in system-on-chip designs. The timeline extended into 2011 with broader industry adoption. released its Bulldozer-based series processors in October, utilizing the 32 nm process from to offer up to eight cores for high-performance desktop systems, aiming to compete in multi-threaded workloads. Simultaneously, initiated 32 nm production under the Common Platform Alliance with and , leveraging shared high-k metal gate innovations to support energy-efficient system-on-chip designs.

Technical Features

Lithography Techniques

The 32 nm process primarily employed 193 nm ArF to pattern critical features, leveraging a (NA) of up to 1.35 to extend beyond the limits of the wavelength. This technique involved immersing the in a medium, typically , to increase the effective NA and improve focus depth, enabling the printing of features as small as 38 nm half-pitch in dense patterns. However, single-exposure alone could not reliably resolve the sub-40 nm dimensions required for the 32 nm , necessitating advanced enhancement methods. To overcome these resolution limits, double patterning techniques were integrated, including litho-etch-litho-etch (LELE) and spacer-defined approaches, which effectively halved the by performing multiple exposures and etches. splitting was particularly applied to metal and layers, where designs were decomposed into two masks to ensure features spaced below the single-exposure Rayleigh criterion could be accurately transferred. For interconnects, introduced self-aligned via patterning, which used a hardmask process to automatically align vias between metal lines, minimizing overlay errors and enabling reliable fabrication at tight dimensions. This innovation reduced the need for precise alignment in via layers, improving for high-volume . Key challenges addressed included controlling (CD) uniformity and overlay in multi-patterning schemes, where even sub-nanometer shifts could cause defects. Source-mask optimization (SMO) emerged as a critical , computationally co-optimizing the illumination shape and patterns to enhance image contrast and process robustness. Representative metrics from implementations include gate pitches of approximately 112.5 nm and minimum metal pitches around 112.5 nm for lower layers, with contact half-pitches achieving 45 nm through pitch-splitting double patterning in select variants. These techniques collectively enabled the 32 nm node to push optical further before transitioning to alternatives.

Transistor and Material Innovations

The 32 nm process primarily utilized planar metal-oxide-semiconductor field-effect (MOSFETs) with gate lengths scaled to approximately 30 , enabling higher transistor density while maintaining performance. These incorporated channels, where tensile in n-channel devices and compressive in p-channel devices enhanced carrier mobility by up to 20-30%, reducing effective mass and improving drive currents without altering the lattice structure. This engineering, achieved through embedded silicon-germanium sources/drains and dual stress liners, was a key evolution from prior nodes to counteract mobility degradation at smaller scales. A major material innovation in the 32 nm node was the adoption of high-k dielectrics, specifically hafnium-based compounds like HfO₂, to replace traditional (SiO₂) gate oxides. This shift allowed for an (EOT) as low as 0.9 nm, minimizing gate leakage currents by orders of magnitude compared to SiO₂ at equivalent thicknesses, while supporting gate lengths below 35 nm. Complementing the high-k layer, metal gates such as (TiN) or () were introduced as the second-generation implementation, providing superior control and tuning over polysilicon gates, which reduced short-channel effects and enabled better . These advancements yielded significant performance gains, with NMOS and PMOS drive currents reaching 1.62 mA/μm and 1.37 mA/μm, respectively, representing over 22% improvement in performance relative to the 45 nm at the same power envelope. Intel's 32 nm implementation fully embraced planar for high-volume production, though into tri-gate structures—featuring a three-sided for enhanced electrostatic —began as precursors to future nodes, demonstrating potential for further leakage reduction. In contrast, AMD employed silicon-on-insulator (SOI) wafers in its 32 nm processes, which isolated the from the to lower and improve speed-power trade-offs in applications.

Manufacturers

Intel's Implementation

Intel's 32 nm process utilized a second-generation high-k (HKMG) technology on bulk wafers with a 300 mm diameter, enabling enhanced performance through improved gate dielectrics and reduced . This variant incorporated fourth-generation strained channels to boost carrier mobility, achieving drive currents of 1.62 mA/μm for NMOS and 1.37 mA/μm for PMOS s while maintaining low leakage. The process delivered a density of 7.11 million s per square millimeter (MTr/mm²), the highest reported for any 32 nm logic technology at the time, facilitating greater integration in applications. To enable high-volume , Intel committed $7 billion in investments across its U.S.-based fabrication facilities between and 2010, focusing on upgrades for 32 nm production. Volume ramp-up commenced in late at Fab D1 in and Fab 11X in , with parallel scaling at Fab 32 in , allowing the company to transition smoothly from 45 nm operations. These sites operated on 300 mm wafers, supporting the deployment of and with low-k dielectrics for nine metal layers. Yield rates for the 32 nm process matched or exceeded those of the 45 nm node, ensuring efficient scaling to meet market demands. Distinctive elements of Intel's implementation included self-aligned trench contacts using for the lower layer and for the upper, which minimized resistance and improved local interconnect reliability. Double patterning was applied to critical logic layers via 193 nm , enabling precise feature definition at pitches as tight as 112.5 nm. The SRAM bitcell measured 0.171 μm², representing a significant reduction from the 0.346 μm² at 45 nm and supporting dense memory arrays up to 291 Mb. These features collectively enhanced manufacturability and density. A primary challenge was optimizing yields for the Westmere , a direct shrink of the 45 nm Nehalem architecture, where process variations could impact defect rates during the transition to HKMG and finer geometries. addressed this through iterative defect reduction and process controls, ultimately achieving approximately 1.2 times the density compared to the prior while delivering over 22% performance gains and substantial leakage reductions. This success validated the bulk silicon HKMG approach for production-scale logic devices.

Other Companies' Adoption

TSMC initiated development of a 32 nm process, demonstrating fully functional 64 Mb SRAM test chips in 2008, but cancelled plans for dedicated volume production in late due to low anticipated volumes, shifting resources to the 28 nm high-k (HKMG) node instead. , collaborating through the Common Platform Alliance with and , qualified its 32 nm low-power HKMG process in June 2010 and launched low-power () and high-performance (HP) process variants in 2011, employing and HKMG stacks to enhance performance and efficiency in foundry services for mobile devices such as processors. AMD utilized GlobalFoundries' 32 nm silicon-on-insulator (SOI) process for its FX-series processors, including server-oriented models, leveraging SOI to achieve power reductions through reduced and improved thermal management. GlobalFoundries began 32 nm SOI HKMG production in 2011 and achieved a milestone of 250,000 wafers shipped by early 2012. IBM advanced 32 nm technology via research contributions to the Common Platform Alliance, focusing on HKMG integration, and achieved volume production of its Power7+ processors on a 32 nm SOI process at its East Fishkill facility starting in 2012. Electronics explored 32 nm process development in collaboration with Renesas, reaching final development stages by 2010, but limited efforts to preparatory work without advancing to commercial volume manufacturing.

Applications

Microprocessors

The 32 nm process enabled significant advancements in microprocessor design, particularly for consumer and server applications, by allowing higher transistor densities and improved power efficiency through high-k metal gate (HKMG) technology, which facilitated higher clock speeds without excessive power draw. Intel's Westmere microarchitecture, a shrink of the Nehalem design to 32 nm, debuted in consumer products in 2010 with the Clarkdale and Arrandale variants powering Core i3, i5, and i7 processors. Clarkdale, targeted at desktops, featured dual physical cores with Hyper-Threading for four threads, clock speeds up to 3.33 GHz in models like the Core i5-680, and integrated graphics, marking the first 32 nm desktop CPUs from Intel. Arrandale, its mobile counterpart, similarly offered dual cores up to 3.06 GHz in the Core i7-620M, emphasizing battery life improvements over prior 45 nm nodes. These chips maintained Nehalem's out-of-order execution and integrated memory controller while benefiting from the denser 32 nm node for better yields and integration. Successor Sandy Bridge processors, released in 2011, fully leveraged the 32 nm process for a new with integrated on the same die, enhancing capabilities. Desktop variants like the Core i7-2600K offered four cores and eight threads, with base clocks of 3.4 GHz and turbo boosts up to 3.8 GHz, while high-end desktop and server models scaled to eight cores, as seen in E5 series processors with TDPs ranging from 32 W to 95 W. delivered 15-20% higher instructions per clock () compared to Nehalem/Westmere, driven by wider execution units and improved branch prediction, resulting in substantial single-threaded performance gains at similar power envelopes. AMD adopted the 32 nm process through its partnership with for the Bulldozer-based series, launched in 2011 as the company's first native eight-core desktop processors. The flagship featured eight cores (in four dual-core modules), a 3.6 GHz base clock with Turbo Core up to 4.2 GHz, and a 125 W TDP, utilizing silicon-on-insulator (SOI) technology for better thermal management in multi-core workloads. These processors targeted but faced criticism for lower per-core efficiency compared to contemporaries, though they excelled in parallel tasks.

Memory and Other Devices

The 32 nm process enabled substantial advancements in , primarily through reduced feature sizes that boosted storage density and lowered costs for high-capacity devices. pioneered commercial production of 32 nm in 2009, initiating of 32 Gb SLC chips in July of that year, which represented the highest density for single chips at the time. These chips utilized advanced scaling techniques to achieve smaller die sizes, supporting applications in storage and early solid-state drives. , in collaboration with , developed 32 nm technology announced in February 2009, allowing two bits per cell for greater capacity without proportional increases in physical size. By 2010, introduced the 64 GB iNAND , stacking eight 32 nm X3 dies in a compact 16 mm × 20 mm × 1.4 mm package, optimized for devices and enabling faster data access rates up to 200 MB/s in read operations. Such innovations resulted in cell sizes around 0.025 μm² for SLC variants, facilitating multi-terabyte SSD configurations through die stacking and contributing to the proliferation of consumer-grade high-density storage. Adoption of the 32 nm process in was more limited compared to , as manufacturers prioritized rapid scaling to even finer nodes amid competitive pressures. Samsung advanced to 40 nm DDR3 production in 2009 before shifting to 30 nm-class production by early 2010, starting of 2 green DDR3 chips that reduced power consumption by up to 30% relative to prior generations while maintaining speeds up to 1.6 /s. This transition reflected the DRAM industry's focus on cost efficiency and performance for servers and , with 32 nm serving primarily as a bridging consideration rather than a volume node. In mobile SoCs, Samsung utilized its 32 nm low-power process for early processors, enabling efficient multi-core designs for smartphones. Beyond memory, the 32 nm process found applications in non-CPU devices such as graphics chips and integrated circuits (PMICs). Foundries like and offered 32 nm platforms for analog and mixed-signal designs, enabling PMICs with integrated high-k transistors for improved power efficiency in mobile and ; 's 32 nm , qualified in 2007, supported RF and high-density mixed-signal functions critical for battery management and . These implementations highlighted the process's versatility in scaling analog components while maintaining reliability under varying thermal and power conditions.

Evolution and Comparisons

Relation to Predecessor Nodes

The 32 nm process served as a direct full- shrink from the 45 nm predecessor, which introduced in high-volume manufacturing in 2008 and featured the first of high-k metal gate (HKMG) transistors along with low-k dielectrics in the interconnect stack to reduce and signal delay. This earlier marked a significant departure from the poly-silicon gates used up to the 65 nm generation, enabling better control over short-channel effects while maintaining compatibility with existing fabrication flows. The 32 nm technology built upon this foundation as 's second-generation HKMG implementation, refining material stacks and process following initial trials in prior to achieve further scaling without introducing entirely new architectures. Key scaling advancements in the 32 nm process emphasized dimensional reductions to boost integration density, with contacted gate pitch shrinking from 160 in the 45 nm node to 112.5 —a factor of approximately 0.70x that preserved the historical twice-per-generation density trend while accommodating tighter layouts for cells. Metal pitch similarly scaled by about 0.70x, from around 158 at 45 nm to roughly 110 , facilitating more compact interconnect routing and contributing to overall circuit efficiency. These changes resulted in density improvements ranging from 1.4x to 1.9x over 45 nm equivalents, depending on specific cell types and design rules, allowing for up to twice the per area in optimized configurations. The 32 nm process retained planar transistors as a direct evolution from the 45 nm design, prioritizing incremental refinements in channel strain and doping over structural overhauls. In terms of continuity, the 32 nm node continued reliance on 193 nm tools established at 45 nm for critical layers, but incorporated double patterning techniques to resolve finer features beyond single-exposure limits, enhancing without shifting to sources. This approach addressed persistent scaling challenges from the 65 nm and 45 nm eras, where aggressive gate dielectric thinning had exacerbated gate leakage currents through quantum tunneling in traditional SiO₂ layers—issues mitigated initially by HKMG at 45 nm and further optimized at 32 nm via thinner (down to 0.9 nm) and improved interface quality for lower off-state leakage.

Transition to Successor Nodes

The 28 nm process served as a half-node successor to the 32 nm node, entering production in 2011 and utilizing bulk planar transistors enhanced with high-k metal gate (HKMG) materials to enable modest scaling in density and power efficiency without requiring radical architectural changes. This intermediate step, primarily adopted by foundries like , allowed for cost-effective extensions of planar technology, bridging the gap between 32 nm and more ambitious full nodes. In contrast, advanced to the full 22 nm node in 2012, introducing tri-gate 3D transistors that wrapped the gate around three sides of the channel for superior electrostatic control, resulting in up to 37% higher performance or 20% lower power compared to planar equivalents at the same speed. Diminishing returns from traditional transistor scaling at 32 , including increased variability and leakage challenges, drove the industry toward node bifurcation, where half-nodes like 28 prioritized economical incremental gains in for mature applications, while full nodes such as 22 targeted transformative performance s through innovative structures. The 28 approach extended with double patterning for viable yields at reduced costs, whereas the 22 leap incorporated early developments toward () lithography precursors, such as improved resist materials and source-mask optimization, to address resolution limits beyond . These shifts enabled key metrics like cell area to shrink from 0.171 μm² at 32 to 0.092 μm² at 22 , illustrating a ~46% area (or approximately 1.86× ) that supported higher integration without proportional cost escalation. By 2013, commercial high-volume production of 32 nm processes had largely phased out in favor of 22 nm and 28 nm alternatives, as evidenced by Intel's transition to 22 nm Haswell processors and ramps at sub-32 nm nodes. However, 32 nm lingered in legacy roles for low-cost applications, such as certain systems and , with limited utilization extending beyond 2015 in niche markets where advanced node economics were not justified.

References

  1. [1]
    [PDF] White Paper Introduction to Intel's 32nm Process Technology
    Intel has been in high volume manufacturing on its 32nm process technology with second generation high-k + metal gate transistors since Q4, 2009. This process ...
  2. [2]
    TSMC Reports Foundry's First 32-Nanometer Technology with ...
    Dec 11, 2007 · TSMC plans to provide complete digital, analog and RF functions, and high density memory capabilities at 32nm node.
  3. [3]
    TSMC To Beat Intel And Globalfoundries To Next Process Node.
    Apr 1, 2009 · TSMC looks as if it will win the race to the next process node with Intel and Globalfoundries trailing behind. Globalfoundries, the foundry ...Missing: history | Show results with:history
  4. [4]
    Samsung Electronics Qualifies Foundry Industry's First 32nm Low ...
    Samsung Foundry has qualified 32nm low-power (LP) process with high-k metal gate (HKMG)* technology. The process has successfully completed reliability testing.
  5. [5]
    GF's First Decade: Finding Their Center | GlobalFoundries
    Sep 30, 2019 · ... 32nm high-k/metal gate process. That combination proved to be very challenging to build in high volume production. Bartlett recalled, AMD's ...
  6. [6]
    [PDF] 2007 ITRS - Semiconductor Industry Association
    Recessed channel cell FET is being adapted for 80 nm or smaller half pitch DRAMs. Furthermore,. THE INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS: 2007 ...
  7. [7]
    A Node By Any Other Name - Semiconductor Engineering
    May 12, 2014 · The half-pitch refers to half the minimum center-to-center distance spacing (or pitch) between Metal 1 lines.
  8. [8]
    [PDF] 32nm-logic-high-k-metal-gate-transistors-presentation.pdf - Intel
    • 32nm technology continues the 0.7x reduction in CD variation. • No increase ... • Intel's 32 nm process has achieved the high yields needed for volume ...Missing: semiconductor | Show results with:semiconductor
  9. [9]
    Intel Completes Next Generation, 32nm Process Development Phase
    Dec 10, 2008 · Intel's manufacturing process has the highest transistor performance and the highest transistor density of any reported 32nm technology in the ...Missing: 7.11 million mm²
  10. [10]
    Aggressively scaled (0.143 μm 2) 6T-SRAM cell for the 32 nm node ...
    Dec 1, 2004 · A 0.143 μm 2 6T-SRAM cell has been fabricated using a planar SOI technology with mixed electron-beam and optical lithography.
  11. [11]
    Intel Demonstrates Industry's First 32nm Chip and Next-Generation ...
    Intel's 32nm test chips incorporate logic and memory (static random access memory --SRAM) to house more than 1.9 billion transistors. The 32nm ...Missing: cell 0.225 μm²
  12. [12]
    Intel to Invest $7 Billion in U.S. Manufacturing Facilities
    Feb 10, 2009 · Outstanding 32nm manufacturing and product health are enabling Intel to accelerate the Westmere production ramp beginning in 2009. Additional ...
  13. [13]
    Toshiba to launch world's first 32nm process NAND flash memory
    Apr 27, 2009 · Toshiba will start mass production of 32Gb NAND flash memories in July 2009, two months ahead of its original plan.Missing: GiB | Show results with:GiB
  14. [14]
    CES 2010: New Intel processors: 32nm Core i3/i5/i7 HD turbo CPU ...
    Jan 8, 2010 · At CES 2010 in Las Vegas, we see new, 32 nanometer Intel processors. The chip company's been announcing updated Core i3, i5, and i7 CPUs and ...
  15. [15]
    Chip manufacturers band together at Common Platform Technology ...
    Jan 19, 2011 · “32nm and 28nm is now being delivered,” said VP of IBM's R&D center Gary Patton adding that the alliance has worked through the issues of ...Missing: production | Show results with:production
  16. [16]
  17. [17]
    Enabling Double Patterning at the 32nm Node
    ### Summary of Key Lithography Techniques for 32 nm Node
  18. [18]
    Low-k interconnect stack with a novel self-aligned via patterning process for 32nm high volume manufacturing
    ### Summary of Self-Aligned Via Patterning Process for 32 nm Interconnects
  19. [19]
    Strained Transistors - REFERENCE PMOS-strained - Intel
    Strained transistors use strain to stretch silicon atoms, enhancing channel mobility by reducing effective mass and moving carriers to better areas.
  20. [20]
    [PDF] Introducing 32 nm technology in Microwind35 - HAL
    Aug 23, 2021 · This paper describes the improvements related to the CMOS 32 nm technology and the implementation of this technology in Microwind35.
  21. [21]
    (PDF) High performance 32nm logic technology featuring 2nd ...
    A 32 nm logic technology for high performance microprocessors is described. 2 nd generation high-k + metal gate transistors provide record drive currents.
  22. [22]
    Intel Demonstrates Industry's First 32nm Chip and Next-Generation ...
    Sep 18, 2007 · Intel's 32nm test chips incorporate logic and memory (static random access memory --SRAM) to house more than 1.9 billion transistors. The 32nm ...Missing: cell 0.225 μm²
  23. [23]
    Design solutions for the Bulldozer 32nm SOI 2-core processor ...
    AMD's 2-core "Bulldozer" module contains 213 million transistors in an 11 metal layer 32nm HKMG SOI CMOS process and is designed to operate from 0.8 to 1.3V.
  24. [24]
    Intel Completes Next Generation, 32nm Process Development Phase
    $$41.00Dec 8, 2008 · Intel's manufacturing process has the highest transistor performance and the highest transistor density of any reported 32nm technology in the ...
  25. [25]
    [PDF] From Sand to Silicon “Making of a Chip” - 32nm Version - Intel
    Intel's highly advanced 32nm High-K/Metal Gate process uses wafers with a diameter of 300 millimeter (~12 inches). When Intel first began making chips, the ...Missing: bulk | Show results with:bulk
  26. [26]
  27. [27]
    A 32nm logic technology featuring 2nd-generation high-k + metal ...
    Process yield, performance and reliability are demonstrated on a 291 Mbit SRAM test vehicle, with 0.171 mum2 cell size, containing >1.9 billion transistors.Missing: 0.225 | Show results with:0.225<|control11|><|separator|>
  28. [28]
    [PDF] 5.2 Technology Leadership - Taiwan Semiconductor Manufacturing
    Feb 28, 2009 · In 2008, TSMC continued to lead the foundry segment in demonstrating the first 32/28nm high-K/metal gate (HKMG) technology, which supported both ...Missing: cancellation | Show results with:cancellation
  29. [29]
    AMD's Cayman GPU Architecture - Real World Tech
    Dec 14, 2010 · In late November of 2009, TSMC announced that it had cancelled the 32nm node, due to relatively small volumes on the node. Instead, they ...
  30. [30]
    [PDF] 2011 SAMSUNG ELECTRONICS ANNUAL REPORT
    Feb 3, 2011 · Following our world-first mass production of the energy-saving 20-nanometer (nm) class 2Gb DDR3 DRAMs in 2011, we expect to sharpen our edge in ...
  31. [31]
    ARM, IBM, Samsung, GLOBALFOUNDRIES and Synopsys ...
    The Common Platform 32/28nm process uses an innovative high-k metal gate approach to address the limitations of polysilicon technology. It leverages the ...
  32. [32]
    [PDF] ADVANCED MICRO DEVICES, INC. - AMD
    Oct 27, 2011 · AMD launched the AMD FX series of desktop processors ... Revenue in the third quarter of 2011 was adversely impacted by 32 nanometer (nm) ...
  33. [33]
    NEC, Renesas to devise separate 28-nm process - EE Times
    ''Both NEC Electronics and Renesas have entered the final stages of 32- and 28-nm generation development, and in the current scheme, will carry out development ...
  34. [34]
    [PDF] Intel® 64 and IA-32 Architectures Software Developer's Manual
    ... Westmere is a 32 nm version of Intel® microarchitecture code name Nehalem. Intel® Xeon® processor 5600 series, Intel Xeon processor E7 and various. Intel ...
  35. [35]
    Intel® Core™ i7-2600 Processor
    32 nm. CPU Specifications. Total Cores. 4. Total Threads. 8. Max Turbo Frequency. 3.80 GHz. Intel® Turbo Boost Technology 2.0 Frequency‡. 3.80 GHz. Processor ...
  36. [36]
    IPC comparison between Sandy Bridge and old CPUs? - AnandTech
    Apr 3, 2012 · Sandy Bridge adds 10-15% in everything over Nehalem. In addition, CPUs lose IPC as clock speed increase.What makes Sandy Bridge so good? | AnandTech ForumsIntel Core Generations Comparison[review by http://ixbtlabs.com]More results from forums.anandtech.com
  37. [37]
    AMD FX-8150 Review | bit-tech.net
    Oct 12, 2011 · AMD FX-series processors ; Name, Nominal Frequency, Turbo Core Frequency, Max Turbo Frequency, TDP ; FX-8150*, 3.6GHz, 3.9GHz, 4.2GHz, 125W ...
  38. [38]
    [PDF] 32nm-soc-platform-technology-paper.pdf - Intel
    The logic transistor family features the most aggressive 112.5 nm gate pitch at the 28/32nm nodes with two options– high performance. (HP) or low power (LP).
  39. [39]
    High-k and Metal Gate Transistor Research - Intel
    Intel made a significant breakthrough in the 45nm process by using a "high-k" (Hi-k) material called hafnium to replace the transistor's silicon dioxide gate ...Missing: TiN | Show results with:TiN
  40. [40]
    28nm Powers TSMC Forward - Semiconductor Engineering
    Feb 14, 2013 · TSMC said that 28nm production was up 30-fold from 2011 and its wafer production at 28nm is projected to be up another 3x in 2013 from 2012.<|separator|>
  41. [41]
    [PDF] Fun Facts exactly how small is 22 nanometers - Intel
    Intel made a radical change in its transistor design in 2011, and then the world's first 22nm 3-D tri-gate silicon transistors entered high volume production in ...
  42. [42]
    [PDF] A 22nm SoC Platform Technology Featuring 3-D Tri-Gate and High ...
    This 22nm SoC platform uses 3-D Tri-Gate technology for low power, high performance, and high density, with low standby power and high voltage transistors.
  43. [43]
    [PDF] CMOS Transistor Scaling Past 32nm and Implications on Variation
    Abstract - This paper explores CMOS transistor scaling past the. 32nm generation and its implications on variation. Front-end variation sources are reviewed ...
  44. [44]
    Nodes Vs. Nodelets - Semiconductor Engineering
    Jan 22, 2018 · The average IC design cost for a 16nm/14nm chip is $80 million, compared to $30 million for a 28nm planar device, according to Gartner. It costs ...
  45. [45]
    The History of Lithography, Part 2: From Double-Patterning to EUV
    Jan 3, 2020 · I mentioned above that at 20/22nm, the minimum pitch was 80nm. This is very significant since this is the absolute minimum pitch we can get out ...
  46. [46]
    Process Technology at IEDM 2008 - Page 5 of 12 - Real World Tech
    Jul 21, 2009 · Intel reported a 32nm SRAM cell size of 0.171um2, which is used to fabricate a 291Mb test chip. A schmoo plot for the SRAM test chip showed 3.8 ...
  47. [47]
    The History of Intel Processors - businessnewsdaily.com
    Aug 8, 2024 · Intel updated its Core-i series of processors in 2013 with the debut of the 22 nm Haswell microarchitecture, which replaced the 2011 Sandy ...
  48. [48]
    Legacy Process Nodes Going Strong - Semiconductor Engineering
    Jul 23, 2024 · Many mature nodes continue to enjoy robust manufacturing demand. Successive nodes stopped reducing die cost at around the 20nm node.<|separator|>