Fact-checked by Grok 2 weeks ago

Self-aligned gate

A self-aligned gate is a fabrication in metal–oxide– field-effect transistor () technology that uses the gate electrode itself as a mask to define the adjacent source and drain regions, ensuring precise alignment without requiring additional lithographic steps and thereby reducing parasitic overlap capacitances between the gate and source/drain. This method, pivotal to modern (IC) design, was conceived by Robert W. Bower in 1965 while at Hughes Research Laboratories and patented in 1969 as U.S. Patent No. 3,472,712, enabling the creation of faster, more reliable, and denser transistors essential for advancement. The self-aligned gate approach addressed critical limitations of earlier metal-gate MOSFETs, which relied on aluminum electrodes that melted at approximately 660°C, preventing the high-temperature or processes (around 1000°C) needed to form and regions after gate deposition. By shifting to (polysilicon) gates—doped to conduct electricity and stable up to 1414°C—the technology allowed the gate to be patterned first, followed by self-aligned doping of the and using the gate as a , which minimized lateral and overlap by as much as 0.5–1 micrometer compared to non-aligned processes. This innovation, independently pursued by teams like Kerwin, Klein, and Sarace at in 1969, dramatically improved device performance: speeds increased by 3–5 times at the same power consumption, or power usage dropped by a similar factor at equivalent speeds, while circuit density doubled and leakage currents reduced by up to 100 times. Federico Faggin further refined the technique at Fairchild in 1968, integrating it with silicon-gate technology to produce the first commercial self-aligned gate , the 3708 8-bit analog , and later applying it at for the groundbreaking 4004 in 1971, which marked the dawn of the microprocessor era and non-volatile memories. Beyond speed and density gains, self-aligned gates enhanced reliability through compatible high-temperature processing with materials like phosphosilicate glass () for passivation, lowering PMOS threshold voltages by about 1.1 V (a 30% improvement) and enabling buried contacts and bootstrap circuits for more complex dynamic logic. Today, variations such as self-aligned (salicide) extend this principle to further reduce contact resistances in advanced nodes, underscoring its enduring role as the foundation for all contemporary CMOS-based electronics, from smartphones to .

Introduction

Definition and Core Principles

The self-aligned is a pivotal fabrication technique in production, where the itself serves as a mask to define the precise boundaries of the source and drain regions, thereby eliminating the need for separate manual alignment steps that were prone to errors in earlier processes. This approach ensures that the source and drain junctions are formed directly adjacent to the gate edges without unintended offsets, enabling tighter scaling and higher device density in integrated circuits. First practically implemented for integrated circuits in 1968 by at , building on earlier concepts such as Robert W. Bower's 1965 proposal, it marked a foundational shift toward modern . At its core, the technique leverages the structure to control implantation or , positioning the heavily doped and regions such that they abut the without overlap, which fundamentally reduces parasitic effects. In a basic MOS with self-aligned features, the structure consists of a p-type (for n-channel devices), a thin layer, a polysilicon spanning the region, and n+ / diffusions precisely aligned to the edges, forming the conductive path modulated by voltage. This alignment minimizes the -to- and -to- overlap, directly lowering the associated that can degrade switching speed and power efficiency. The reduction in overlap capacitance arises from the precise positioning, where the overlap area A is minimized to near zero compared to misaligned predecessors. The overlap capacitance is given by the formula C_{ov} = \frac{\epsilon \cdot A}{t_{ox}} where \epsilon is the permittivity of the gate oxide, A is the overlap area between the gate and source/drain, and t_{ox} is the oxide thickness; self-alignment effectively shrinks A, yielding capacitances 3–5 times lower than in non-self-aligned designs and thereby enhancing transistor performance. A key enabling principle is the use of (polysilicon) or similar refractory conductive materials for the electrode, which can endure the high-temperature annealing steps required for source/drain doping after patterning—unlike aluminum gates in prior methods that would melt or diffuse uncontrollably. This material choice allows the to be fabricated early in the process, acting as a robust during subsequent , while also serving as an interconnect layer to further optimize layout.

Role in MOS Transistor Evolution

The of self-aligned gates marked a pivotal evolutionary shift in design, transitioning from metal-gate structures to silicon-gate s during the late and early . This change replaced aluminum gates with , allowing for precise self-alignment of the gate with and regions through processes that used the gate itself as a . As a result, integrated circuits achieved significantly higher packing densities, with silicon-gate designs occupying roughly half the chip area of their metal-gate predecessors while delivering 3-5 times faster switching speeds. This advancement profoundly impacted computing power by enabling the fabrication of more complex microprocessors, exemplified by the released in 1971, which integrated 2,300 transistors on a single chip using self-aligned silicon-gate technology. The reduced power dissipation and enhanced reliability of these transistors—stemming from lower threshold voltages and minimized leakage currents—facilitated the design of dense, efficient circuits that were unattainable with metal-gate approaches. By supporting such high levels of integration, self-aligned gates heralded the onset of the (VLSI) era, shifting the semiconductor industry toward dominance over bipolar technologies for most applications by the mid-1970s. Self-aligned gates played a prerequisite role in MOS transistor scaling, permitting the pursuit of sub-micron feature sizes by substantially reducing parasitic capacitances between the gate and source/drain regions, which had previously limited performance and . This precision alignment minimized overlap areas that contributed to unwanted charge storage and signal delays, directly bolstering the exponential growth in central to . Without these improvements, achieving the consistent channel control necessary for advanced scaling would have been infeasible, as self-aligned structures ensured gates were positioned accurately over channels during fabrication. Quantitatively, the technology reduced length variation from approximately 1-2 μm due to misalignment tolerances in older metal-gate methods—where separate for and diffusions often led to overlaps or —to near-zero variation, thereby enhancing and speed. This alignment accuracy allowed for tighter control over effective lengths, mitigating short- effects and enabling reliable operation at smaller scales that propelled evolution.

Historical Development

Early Innovations at Fairchild Semiconductor

The self-aligned gate concept originated earlier with Robert W. Bower's 1965 proposal at Hughes Research Laboratories, patented in 1969 (U.S. Patent No. 3,472,712), and was independently developed in 1967 by Robert Kerwin, Donald Klein, and John Sarace at , who patented it in 1969 (U.S. Patent No. 3,475,234). In 1968, , while working at Fairchild Semiconductor's R&D laboratory, invented the self-aligned silicon gate process, a pivotal advancement that enabled precise alignment of the gate electrode with source and drain regions in transistors without relying on separate masking steps. This innovation built upon earlier concepts but was the first to achieve commercial viability through practical fabrication techniques. Faggin's work addressed key limitations in metal-gate processes, such as misalignment and high resistance, by substituting for aluminum gates. A critical experiment in this development involved the use of phosphorus-doped polysilicon gates, which allowed source and drain to be diffused at high temperatures—up to °C—without causing degradation or dopant redistribution that could lead to short channels. The heavy doping with rendered the polysilicon conductive and thermally stable, permitting the structure to serve as an effective mask during subsequent steps, thereby achieving sub-micron alignment tolerances essential for denser integration. By April 1968, Faggin had fabricated the first functional transistors using this full self-aligned process, demonstrating enhanced speed and reliability. Supporting this breakthrough was foundational work by Robert N. Noyce and colleagues at Fairchild on silicon-gate , including Noyce's 1965 internal memo outlining a polycrystalline, self-aligned silicon-gate approach for large-scale integration. Their efforts culminated in the first demonstration of self-aligned n-channel devices, validating the process for high-performance applications. This collective innovation at Fairchild marked a turning point in evolution, enabling the transition from discrete components to complex integrated circuits. The inventions were protected through , notably U.S. Patent 3,673,471 (1972) by Thomas Klein and , which detailed the use of doped polysilicon gates for self-alignment via the gate acting as a mask. An earlier related , U.S. 3,472,712 (1969) by Robert W. Bower, had proposed insulated gate concepts, but Faggin's implementation at Fairchild integrated these into a manufacturable .

Commercialization and Impact at Intel

Federico Faggin, having pioneered the self-aligned silicon-gate technology at , joined in April 1970 and adapted it for the company's MOS integrated circuits, significantly advancing production efficiency and device performance. This transition built on Intel's early adoption of the process for its MOS memory chip in 1969, but Faggin's enhancements, including buried contacts, enabled more complex logic designs. The technology's commercial debut came with the microprocessor in 1971, the first single-chip CPU, which integrated 2,300 transistors using a 10 μm process to achieve unprecedented density and speed for programmable logic. Faggin led its design, leveraging self-aligned gates to fit the CPU functions onto a compact die, marking a pivotal shift from custom bipolar circuits to general-purpose processors. Building on this foundation, the self-aligned gate process powered the in 1972, an 8-bit evolution of the 4004, and the more powerful 8080 in 1974, which further reduced manufacturing costs by up to 40% through improved yields and smaller while boosting integration density. These advancements lowered the price of computing components, enabling broader applications in calculators, terminals, and early computers. Intel's implementation spurred industry-wide adoption, with the company licensing microprocessor designs and the underlying self-aligned gate process diffusing to competitors, resulting in its standard use for and logic chips across the sector by the mid-1970s. This proliferation accelerated the transition to high-density fabrication, reducing costs and driving exponential growth in semiconductor integration.

Technical Foundations

Comparison with Pre-Self-Aligned Methods

In the conventional aluminum-gate process prevalent in the early days of fabrication, separate photolithographic masking steps were required for defining the source and drain regions, followed by the gate electrode. This sequential approach, involving or implantation for source/drain doping prior to gate patterning, inherently introduced misalignment tolerances of approximately 1-2 μm between the gate and the source/drain edges due to limitations in mask alignment precision during that era. Such misalignment resulted in unintended gate overlap with the heavily doped source and drain regions, significantly increasing parasitic overlap capacitance (C_{ov}), which could constitute up to 2.5 times the intrinsic in perfectly aligned cases and even higher in misaligned scenarios, thereby degrading switching speeds through enhanced coupling. Additionally, the thermal budget was severely constrained by aluminum's low of 660°C, preventing high-temperature post-gate annealing or steps necessary for optimal formation and gettering, which in turn necessitated larger device dimensions to accommodate diffusion spreads and reduced manufacturing yields. By the 1970s, as feature sizes shrank toward 5-10 μm to meet demands for higher density, these misalignment-induced variations emerged as a dominant limiter, prompting the of self-aligned gate techniques to mitigate these inefficiencies.

Mechanisms of Self-Alignment

The self-aligned gate process in fabrication relies on the polysilicon gate structure serving as a hard to prevent implantation or into the region. The polysilicon gate, typically topped with an overlying or layer for enhanced etch selectivity and protection, blocks high-energy ions or diffusing species from reaching the underlying beneath the gate, thereby defining the length precisely without additional masking steps. This masking action ensures that dopants are introduced only in the source and drain areas adjacent to the gate edges, maintaining electrical of the . Lateral diffusion of dopants is controlled such that penetration occurs primarily near the gate edges, forming self-aligned extensions. For n-channel devices, dopants like are implanted or diffused into the , but their sideways spread under the is minimized by the hard , resulting in abrupt junctions. Alignment precision in self-aligned gates reaches sub-micrometer levels, often below 0.1 μm, limited primarily by the lateral during anneals. The diffusion length L_d is given by L_d = \sqrt{D \cdot t}, where D is the (dependent on and ) and t is the anneal time; for at typical anneal conditions (e.g., 900-1100°C), this constrains under-gate encroachment to tens of nanometers, enabling reliable short-channel MOSFETs compared to earlier misaligned metal-gate processes that suffered from larger overlaps.

Manufacturing Process

Primary Fabrication Steps

The fabrication of a self-aligned gate involves a sequence of precise steps starting from preparation and culminating in metallization. The process begins with a p-type . A thick field layer, approximately 1 μm thick, is grown via and patterned using and to create isolation structures, such as , that electrically separate devices on the and define the active areas for . A thin layer is then grown via in the active areas to serve as the gate dielectric. A layer of polysilicon is deposited over the using and heavily doped to create the conductive material, forming the initial stack. Photolithography is applied to define the gate pattern, followed by etching to remove excess polysilicon and oxide, leaving the shaped gate electrode in place. Source and drain regions are subsequently formed through or of dopants into the , with the polysilicon acting as a natural to achieve self-alignment; this ensures the regions are precisely positioned adjacent to the without additional masking steps. An anneal at high temperature, typically around 1000 °C, follows to activate the implanted dopants and repair lattice damage. An insulating layer, such as phosphosilicate glass (PSG), is then deposited over the structure. Contact etching opens windows in this layer to access the source, , and gate. Final processing includes silicide formation on these contacts to lower , followed by metallization with aluminum or similar conductors for interconnections. Critical alignment during the source/drain formation step is essential for , as process variations here contributed to a notable fraction of defects in early implementations.

Materials and Doping Techniques

In self-aligned gate MOSFETs, the gate electrode is typically constructed from doped polysilicon, which is heavily doped to n+ or p+ conductivity levels using oxychloride (POCl3) diffusion for n-type gates. This material selection provides thermal stability during high-temperature processing steps, enduring temperatures up to approximately 1000°C required for dopant activation and annealing without significant degradation. Additionally, polysilicon's compatibility with the underlying silicon oxide ensures reliable properties and prevents reactions that could compromise device integrity. The gate dielectric in these structures is formed by thermal oxidation of silicon to produce a silicon dioxide (SiO2) layer, typically 50-100 nm thick, which serves as an effective insulator between the gate and channel. This thermal SiO2 exhibits a breakdown electric field strength of around 10 MV/cm, enabling reliable operation under applied voltages while maintaining low leakage currents. The thickness range balances capacitive coupling with sufficient isolation for early device generations. Doping techniques for self-aligned gates emphasize precision to achieve shallow junctions and avoid misalignment. For source and drain regions, ion implantation is employed, such as arsenic ions (As+) accelerated at energies of 50-100 keV, to create shallow n-type junctions with controlled depth and minimal lateral spread under the gate. In contrast, the polysilicon gate itself is doped via diffusion processes, like POCl3 for n+ doping, to prevent lattice damage from implantation while ensuring uniform conductivity across the gate structure. This combination allows self-alignment by using the gate as a mask for subsequent source/drain doping. Early explorations of gate materials included transitions from aluminum, which suffered from and poor thermal stability, to such as in hybrid configurations for improved conductivity and heat resistance. However, doped polysilicon emerged as the dominant choice due to its seamless with processing and enabling of true self-alignment without additional masking steps.

Advantages and Challenges

Key Performance Improvements

The self-aligned gate structure significantly minimizes parasitic overlap C_{ov} between the gate electrode and the source/drain regions, a substantial improvement over the larger overlaps in non-self-aligned metal-gate processes. This reduction primarily affects the gate-to-drain C_{gd}, which degrades circuit speed via the . By lowering C_{ov}, the f_T = \frac{g_m}{2\pi (C_{gs} + C_{gd})} increases, where g_m denotes , enabling higher-frequency operation in MOS integrated circuits. Switching delay in 1970s self-aligned gate devices was reduced by a factor of 3–5 compared to prior metal-gate MOSFETs, primarily due to decreased parasitic capacitances and improved gate control. This enhancement facilitated more complex logic and memory circuits. Power efficiency improved through lower leakage currents enabled by precise source/drain junction alignment, bringing the subthreshold swing closer to the theoretical ideal of 60 mV/decade and reducing off-state power dissipation. Overall, self-aligned gates achieved 3-5 times lower power consumption at equivalent speeds relative to non-aligned predecessors. The technology supported aggressive scaling, enabling channel lengths to shrink to 5 μm by 1975 while maintaining reliable performance, which boosted density and increased chip-scale integration by orders of magnitude—from hundreds of transistors in 1960s ICs to thousands in 1970s designs.

Limitations and Scaling Issues

As self-aligned gate technology enabled channel lengths to shrink below 1 μm in the late 1980s and early 1990s, short-channel effects emerged as a primary limitation, particularly drain-induced barrier lowering (DIBL), which causes a reduction in and increased off-state leakage. DIBL arises from the drain penetrating the channel, lowering the potential barrier between source and channel. For effective lengths around 0.25–0.5 μm, DIBL becomes significant, necessitating higher doping levels (up to $10^{17} cm^{-3}) to maintain control, though this increased junction capacitance and body effect. Hot carrier injection further constrained scaling, as high electric fields near the drain junction accelerated carriers to energies sufficient for injection into the gate oxide, degrading transconductance and threshold voltage over time. In self-aligned structures without mitigation, peak fields reached 5–7 MV/cm for 1 μm channels at 5 V drain bias, leading to gate current densities exceeding 10^{-15} times the drain current after prolonged operation. This reliability issue prompted the adoption of lightly doped drain (LDD) extensions, which reduced field peaking by 60–70% but introduced series resistance trade-offs. Thermal budget trade-offs posed additional challenges during fabrication, as high-temperature anneals (typically 900–1100°C) required for activation in source/drain regions caused significant redistribution and diffusion, blurring p-n junctions and increasing overlap capacitances. In self-aligned processes, excessive thermal exposure diffused implanted s beyond the intended 0.1–0.2 μm junction depths, exacerbating short-channel effects. Balancing activation efficiency with minimal diffusion often limited anneal times to seconds via , yet residual blurring persisted for sub-0.5 μm gates. Yield scaling was hindered by lithography limitations, with alignment precision constrained to approximately 0.1–0.15 μm in the early 1990s due to optical resolutions, leading to gate-to-source/ misalignment variations that increased defect densities. For 0.5–1 μm technologies, overlay errors of 0.1–0.15 μm resulted in drops below 80% for high-density circuits, as even minor shifts amplified leakage and short-channel non-uniformities across wafers. Process tweaks, such as enhanced spacers or etch selectivity, were needed to tolerate these tolerances, but fundamental lithographic constraints capped practical scaling without advanced exposure tools.

Modern Extensions

Adaptations in Advanced Nodes

As progressed to sub-100 nm , self-aligned gate processes underwent significant adaptations to address challenges like poly depletion and limitations while preserving precise alignment. In the 45 nm , polysilicon gates were replaced with , such as (TiN), to eliminate poly depletion effects that degrade and increase . This shift was implemented via a replacement (RMG) process, where a temporary dummy polysilicon gate is patterned and used to define source/drain regions, followed by its selective removal and substitution with the stack, thereby maintaining the self-alignment of source, drain, and gate electrodes. To enable patterning at pitches below 10 nm, self-aligned double patterning (SADP) emerged as a key adaptation, leveraging to double the resolution of 193 nm tools without requiring multiple exposures. The process involves depositing a mandrel layer to define coarse patterns, followed by conformal deposition of a sidewall spacer (typically or oxide), anisotropic etching to form spacers, and selective mandrel removal, resulting in self-aligned fine lines with enhanced overlay tolerance and reduced edge placement errors. This technique has been critical for and contact layers in nodes from 14 nm onward, facilitating denser interconnects while integrating seamlessly with self-aligned formation. Short-channel effects, including drain-induced barrier lowering (DIBL), necessitated refinements in doping strategies for nodes at 90 nm and below. Halo implants, or doping, were introduced to create localized high-doping pockets adjacent to the source and regions under the edges, enhancing control and suppressing subthreshold leakage without significantly impacting long-channel performance. Performed at high tilt angles using the as a self-aligned mask, these angled (for nMOS) or (for pMOS) implants form non-uniform doping profiles that counteract carrier injection from the , enabling reliable operation at gate lengths below 50 nm. The evolution of self-aligned gates extended beyond planar s to three-dimensional structures, such as FinFETs, which wrap the gate around multiple fins for superior electrostatic control and reduced short-channel effects. This architectural shift, integrated with self-aligned processes for fin and gate definition, has enabled densities exceeding 100 million per mm² in 5 nm nodes, as demonstrated by high-mobility channel FinFETs with EUV .

Applications in Current Devices

Self-aligned gate technology remains a in the fabrication of FinFETs at nodes of 14 nm and beyond, where the gate electrode wraps around the three-dimensional fin structure to enhance channel control and reduce short-channel effects. In Intel's 22 nm tri-gate process, introduced for and mobile applications, the self-aligned gate enables precise alignment with the fin, allowing for improved drive current and lower leakage compared to planar transistors, as demonstrated in early implementations. Similarly, TSMC's employs FinFETs with self-aligned gates for mobile system-on-chips (SoCs), achieving higher transistor density and in devices like processors, where the gate patterning via self-aligned quadruple patterning supports aggressive scaling while maintaining manufacturability. Transitioning to gate-all-around field-effect transistors (GAAFETs) at advanced nodes, self-aligned gates fully encase or channels, providing superior electrostatic control and mitigating leakage in environments. Samsung has integrated this approach in its , while TSMC is introducing it in its 2 nm (N2) process entering high-volume production in late 2025; Intel is also implementing GAAFETs through its RibbonFET technology in the 18A process node, on track for production in 2025. The gate is self-aligned to the stacked to enable tighter pitch and better subthreshold swing, supporting applications in data centers and hardware where is critical. This wrapping configuration improves drive current by up to 20-30% over FinFETs while enabling further scaling beyond 5 nm. In memory technologies, self-aligned gates are embedded in sense amplifiers and cells to ensure precise pitch control and reliable operation at scaled dimensions. For , the self-aligned gate in peripheral transistors facilitates fast read/write speeds by minimizing overlap capacitances, as seen in embedded designs integrated with logic processes. In , self-aligned and gate structures allow for high-density 3D stacking, with charge-trap or floating-gate cells benefiting from reduced variability in programming, enabling terabit-scale storage in consumer devices. As of 2025, self-aligned gate technology is integral to accelerators such as NVIDIA's Blackwell GPUs, fabricated on TSMC's 4NP enhanced to integrate 208 billion transistors, delivering significant power efficiency gains for and workloads. These implementations, including the Blackwell , rely on self-aligned gates for enhanced thermal management and performance scaling.

References

  1. [1]
    Self-Aligned Gate MOSFET
    A MOSFET is a simple switch with a control element called a gate that must span the space separating the source and drain (this space is called the channel)
  2. [2]
    NIHF Inductee Robert Bower Invented the Self Aligned Mosfet
    Robert Bower invented the Field-Effect Device with Insulated Gate known as the Self-Aligned Gate MOSFET, which has created the fast, design-stable device.Missing: definition history
  3. [3]
    History - HRL Laboratories
    First Self-Aligned Gate MOSFET (SAGFET)​​ Conceived by inventor Robert Bower in 1965, the device became the basis for all modern integrated circuits and has been ...
  4. [4]
    None
    ### Summary of Self-Aligned Gate Technology
  5. [5]
    The MOS Silicon Gate Technology - Intel 4004
    This novel technology used self-aligned-gate transistors with gates made with highly-doped poly-crystalline silicon. With a single brushstroke SGT removed all ...<|control11|><|separator|>
  6. [6]
    Silicon Gate Technology - Intel 4004
    The Silicon Gate Technology was the world's first commercial MOS self-aligned-gate process technology. Before this technology, the control gate of the MOS ...
  7. [7]
    1968: Silicon Gate Technology Developed for ICs | The Silicon Engine
    Federico Faggin and Tom Klein improve the reliability, packing density, and speed of MOS ICs with a silicon-gate structure.Missing: invention | Show results with:invention
  8. [8]
    [PDF] Lecture 8: MOSFET Threshold Voltage and Parasitic Capacitances
    diffusion, there is an overlap between gate and S/D junctions. ❑ This overlap capacitance is a constant linear capacitance d ox. GDOV. GSOV. X. WC. C. C.
  9. [9]
    Moore's Law Milestones - IEEE Spectrum
    Apr 30, 2015 · The breakthroughs that made CMOS viable were transistor gates that during fabrication could be self-aligned over the channels of the ...<|separator|>
  10. [10]
    Appendix 1: The MOS Silicon Gate Technology - Siliconthebook
    With the metal gate, the impossibility to make a perfect alignment between the first and the second mask requires a larger overlapping area between the gate ...Missing: 1970s length<|control11|><|separator|>
  11. [11]
    [PDF] fundamental limitations in microelectronics-i. mos technology
    The channel length of a minimum size MOS transistor isa factor of 10 smaller than that _ofthe smallest present day devices. The tolerances required to ...
  12. [12]
    How we made the microprocessor | Nature Electronics
    Jan 8, 2018 · Working at Fairchild Semiconductor in 1968, I was the project leader ... The SGT was the first commercial self-aligned gate MOS process ...
  13. [13]
    People | The Silicon Engine - Computer History Museum
    He described a polycrystalline, self-aligned silicon-gate process in an internal memo in 1965 that was proposed to the Air Force for an LSI project. A ...
  14. [14]
  15. [15]
    None
    Summary of each segment:
  16. [16]
    Intel 4004 Microprocessor | National Museum of American History
    The 4004 employed a 10 um silicon-gate enhancement load pMOS technology and could execute approximately 92,000 instructions per second (a single instruction ...Missing: self- aligned
  17. [17]
  18. [18]
    The Surprising Story of the First Microprocessors - IEEE Spectrum
    Aug 30, 2016 · The latter would then represent the first 8-bit microprocessor, and the Intel 8008 the first commercially successful 8-bit microprocessor.
  19. [19]
    [PDF] S D G B The MOSFET - CMU School of Computer Science
    ... (LDD) structure: (a) formation of gate and self-aligned implantation of lightly doped source and drain regions; (b) deposition of SiO. 2. ; (c) spacer layer.<|control11|><|separator|>
  20. [20]
    [PDF] self-aligned polysilicon gate metal-oxide - SFU Summit
    Self-aligned MOSFET structure could solve this problem and yield uniform devices over a large area. The idea of self-aligned MOSFET is by using a pre-defined.
  21. [21]
    POCl3 process flow for doping polysilicon without forming oxide ...
    A POCl 3 layer is then formed over the undoped polysilicon and thermally annealed to drive phosphorous into the gate polysilicon to achieve a desired ...
  22. [22]
  23. [23]
    Self-aligned gate method for making MESFET semiconductor
    A MESFET is fabricated using a self-aligned gate process. This process uses a vertical (anisotropic) etch to self-align the gate and source/drain.
  24. [24]
    [PDF] DOPING: ION IMPLANTATION - Chapter 1
    Aug 26, 2019 · The application of ion implantation solved the gate alignment problem by using the so-called self-aligned source/drain (S/D) doping process ...
  25. [25]
    [PDF] New Self-aligned Metal-gate MOSFETs Using Aluminum ... - Confit
    This technology enables polysilicon gates that have high temperature immunity to be replaced with aluminum after receiving high-temperature annealing for source ...
  26. [26]
    Self-aligned sUicides or metals for very large scale integrated circuit ...
    This paper will review the present status of the refractory silicide and refractory metal technologies and compare them with the upcoming self-aligned silicide ...
  27. [27]
    Selective CVD tungsten deposition: A new technology for VLSI and ...
    A large load size, low pressure, hot wall CVD tungsten process has been developed. The deposition is self-aligned to single crystal silicon or polysilicon.
  28. [28]
  29. [29]
    Oral-History:Federico Faggin
    Jan 26, 2021 · After a short while at Fairchild, Faggin developed the silicon gate ... self-aligned gate MOS integrated circuits. This was the technology ...
  30. [30]
    [PDF] Limits of Scaling MOSFETs - Stanford University
    Limits discussed include subthreshold currents, time depen- dent dielectric breakdown (TDDB), hot electron e ects, and drain induced barrier lowering (DIBL).
  31. [31]
    Reliability effects on MOS transistors due to hot-carrier injection
    1980. The LDD structure, where narrow, self-aligned n-regions are introduced between the channel and the n+source-drain diffusions of an IGFET to spread the ...
  32. [32]
    [PDF] A Modified Lightly Doped Drain Structure for VLSI MOSFET's, - DTIC
    A new n-MOS LDD-like device structure (the J-MOS transistor) is proposed. Its de- sign, simulation and fabrication are studied in this paper.
  33. [33]
    [PDF] Advanced Gate Technologies for Deep-Submicron CMOSFETs
    Jul 27, 2006 · It is also found that doped 2 Page 8 FUSI gates have better thermal stability to withstand backend process annealing.Missing: aluminum | Show results with:aluminum
  34. [34]
    [PDF] semiconductors 2005 edition front end processes
    Control of self-aligned doping processes and thermal activation budgets to achieve Leff control ... properties to prevent contamination of the transistor channel ...
  35. [35]
    Advancements and challenges in inverse lithography technology
    Jul 24, 2025 · In 1995, Nikon released a 248 nm laser scanning lithography machine with a resolution of 0.25 μm. ... lithography and self-aligned double ...
  36. [36]
    [PDF] Intel® Technology Journal
    Jun 17, 2008 · For the 45nm technology node, high k+metal gate transistors have been introduced for the first time in a high volume manufacturing process [1].
  37. [37]
    Self-Aligned Double Patterning (SADP) - Semiconductor Engineering
    The SADP process uses one lithography step and additional deposition and etch steps to define a spacer-like feature. SADP, which has been used to extend NAND to ...
  38. [38]
    [PDF] Transistor Design for 90 nm-Generation and Beyond
    Since the over- lap of implanted impurities is larger for shorter gate lengths, the concentration of the channel impurities increases and the threshold voltage.
  39. [39]
    [PDF] Intel's Revolutionary 22 nm Transistor Technology
    Intel's 22nm Tri-Gate transistors have 3D fin structure, improved performance, energy efficiency, reduced leakage, and can operate at lower voltage with good ...
  40. [40]
    N7 FinFET Self-Aligned Quadruple Patterning Modeling
    Dec 14, 2018 · In this paper we propose a method to characterize the fin pitch walk by modeling the SAQP process flow of a 7nm finFET technology with SEMulator3D.
  41. [41]
    IEDM 2016 – Setting the Stage for 7/5 nm | Siliconica
    Jan 18, 2017 · TSMC announced the “world's first 7nm CMOS platform technology for mobile system-on-a-chip (SoC) applications, featuring FinFET transistors”.<|separator|>
  42. [42]
    Gate-All-Around FET (GAA FET) - Semiconductor Engineering
    In IBM's gate-all-around fabrication process, two landing pads are formed on a substrate. ... Conventional self-aligned, nickel-based silicide contacts and copper ...
  43. [43]
    Samsung Begins Chip Production Using 3nm Process Technology ...
    Jun 30, 2022 · Optimized 3nm process achieves 45% reduced power usage, 23% improved performance and 16% smaller surface area compared to 5nm process.Missing: self- aligned
  44. [44]
    A Review of the Gate-All-Around Nanosheet FET Process ... - MDPI
    Nov 3, 2022 · In this paper, the innovations in device design of the gate-all-around (GAA) nanosheet FET are reviewed.
  45. [45]
    Disturbance Characteristics of 1T DRAM Arrays Consisting of ...
    May 28, 2023 · ... sense amplifier is used typically in the 1T DRAM architecture [25]. ... The intermediate n-doped channel region can be self-aligned with the gate ...
  46. [46]
    Principle of Nand Flash Memory - Wiley Online Library
    Dec 21, 2015 · One NAND string consists of 32 series-connected stacked gate memory transistors and two select gate transistors. ... A self-aligned STI ...
  47. [47]
    Characteristics of Sub-50 nm NAND Flash Devices with Various Self ...
    Aug 6, 2025 · The program efficiency and endurance characteristics of sub-50nm NAND flash devices with various self-aligned shallow trench isolation ...Missing: DRAM sense amps
  48. [48]
    Nvidia's Jensen Huang expects GAA-based technologies to bring a ...
    N2 — to increase performance by 10% to 15% compared to N3E, the company's second ...
  49. [49]
    TSMC N3, And Challenges Ahead - WikiChip Fuse
    May 27, 2023 · One of the things TSMC introduced with their N3B node is a new self-aligned contact (SAC) scheme. ... Samsung 3nm GAAFET Enters Risk Production; ...<|separator|>