Fact-checked by Grok 2 weeks ago

Field-programmable gate array

A field-programmable gate array (FPGA) is a reconfigurable designed to be programmed by a user after manufacturing to implement custom digital logic functions. Unlike fixed-function application-specific integrated circuits (ASICs), FPGAs allow for post-production modifications through hardware description languages (HDLs) like or , enabling flexibility in design and deployment. The concept of configurable computing, which underpins FPGAs, was proposed in the 1960s, but the first commercially available FPGA was introduced by in 1985 with the XC2000 series, featuring lookup tables (LUTs) and D flip-flops (DFFs). Subsequent milestones include the 1991 Xilinx XC4000, which added carry chains and LUT-based RAM; the 1995 Altera FLEX series with dual-port block RAM; and the 2000 Virtex-2, introducing embedded multipliers. By the 2010s, FPGAs had evolved into third-generation devices with millions of logic cells, supporting (HLS) tools and adaptive computing architectures like 's 2019 ACAP. This progression has been driven by , doubling logic density roughly every 18 months since the . At their core, FPGAs consist of an array of configurable logic blocks (CLBs), programmable interconnects, , embedded memory (block RAM), and specialized . CLBs typically include LUTs for implementing combinatorial logic and flip-flops for sequential operations, while interconnects route signals between blocks via multiplexers and programmable points. Modern FPGAs also integrate microprocessors in system-on-chip () variants, phase-locked loops (PLLs) for , and high-speed transceivers for interfacing. Configuration is achieved by loading a bitstream into on-chip memory, often SRAM-based, allowing for rapid reconfiguration. FPGAs excel in applications requiring parallelism, low latency, and customization, such as , , bioinformatics, systems, and acceleration. They offer advantages over general-purpose processors by implementing dedicated hardware pipelines for tasks like data logging or acceleration, reducing power consumption and improving reliability in hardware-timed environments. In high-end uses, such as ASIC and supercomputing, FPGAs support up to 18.5 million logic cells and thousands of blocks (as of 2023), making them ideal for prototyping and evolving workloads.

History

Invention and Early Development

The concept of field-programmable gate arrays (FPGAs) emerged from earlier programmable logic devices (PLDs) developed in the late , such as (PAL) and field-programmable logic arrays (FPLA), which utilized PROM-based fusible links for custom logic implementation. These devices, pioneered by Monolithic Memories Inc. (MMI), offered a step beyond fixed logic by allowing users to program AND/OR arrays for prototyping, but they were limited to simple combinational functions without extensive interconnectivity. In the , during the burgeoning very-large-scale integration (VLSI) era, engineers sought alternatives to costly custom integrated circuits (ICs), as the shift from small-scale to high-density chips increased design complexity and non-recurring engineering expenses for application-specific integrated circuits (). Ross Freeman, an engineer at , conceived the idea of a reprogrammable logic array in the mid-1970s, filing initial applications for a device with configurable gates and interconnects that could be field-programmed multiple times without fabrication. Freeman, along with Bernard Vonderschmitt and James Barnett, founded in February 1984 to commercialize this vision, aiming to bridge the gap between and production hardware amid the VLSI boom. Their breakthrough culminated in the invention of the first FPGA in 1984, patented as a configurable electrical with variably interconnected logic elements controlled by memory cells. Xilinx released the XC2064, the world's first commercial FPGA, in November 1985, featuring 64 configurable logic blocks (CLBs) equivalent to approximately 1,000 to 1,500 gates and fabricated in a 1.2-micron process. This device allowed users to program logic functions and routing in the field using electrical signals, reducing dependency on mask-programmed . Early FPGAs like the XC2064 faced significant challenges, including high unit costs—often 10 times that of equivalent —and limited gate counts that restricted them to small-scale applications, making adoption slow outside niche prototyping. By the early 1990s, FPGAs began gaining traction in for flexible and networking equipment, where reprogrammability supported evolving standards without full redesigns. This initial marked a pivotal shift from custom IC dominance, enabling faster time-to-market despite ongoing cost and density limitations.

Technological Evolution and Market Growth

The technological evolution of field-programmable gate arrays (FPGAs) has been marked by exponential increases in logic density, driven by semiconductor process advancements and architectural refinements. In the 1980s, early commercial FPGAs, such as Xilinx's XC2064 introduced in 1985, offered densities equivalent to thousands of logic gates, limited by 1.2 μm process technology and basic configurable logic blocks. By the late 1990s and early 2000s, densities surged into the millions of system gates; for instance, the Xilinx Virtex-E family, released in 1999, scaled up to 4 million system gates using a 0.18 μm process, while the Virtex-II series in 2001 reached up to 10 million system gates on a 150 nm node. This growth continued through the 2010s and into the 2020s, with modern FPGAs leveraging sub-10 nm processes—such as 7 nm in AMD's Versal Premium series announced in 2020—enabling densities exceeding billions of transistors and supporting complex applications like AI acceleration. Key innovations have paralleled these density gains, enhancing reprogrammability and performance. The widespread adoption of SRAM-based configuration in the 1990s, exemplified by Xilinx's XC4000 family launched in 1990, allowed for volatile but fast in-system reconfiguration, replacing earlier PROM and antifuse technologies and enabling iterative design prototyping. In the early , integration of specialized blocks further advanced capabilities: Xilinx's Virtex-II in 2002 introduced dedicated slices for efficient , while block RAM (BRAM) modules, first embedded in the original Virtex family in 1998, provided on-chip memory up to several megabits to reduce external dependencies. Entering the , stacking and chiplet-based designs emerged as pivotal developments; AMD's Stacked Interconnect (SSI) , refined in the Virtex UltraScale+ series around 2016 and expanded in Versal adaptive compute acceleration platforms (ACAPs) by 2020, enables modular multi-die integration for higher bandwidth and scalability, akin to chiplet architectures in . Following the 2022 acquisition, AMD continued advancing FPGA , releasing the Versal AI Gen 2 in 2024 on a 5nm process, enhancing AI capabilities at the edge. Market growth has reflected these technological strides, transforming FPGAs from niche prototyping tools to essential components in diverse industries. The global FPGA reached approximately $1 billion by 2000, fueled by adoption in and for rapid ASIC emulation, where FPGAs' reprogrammability significantly lowered non-recurring engineering (NRE) costs compared to custom silicon development, which could exceed millions per project. By 2020, the had expanded to nearly $10 billion, driven by demand in data centers, automotive, and infrastructure, with projections estimating $9.9 billion for that year. As of 2025, the global FPGA is estimated at around $11 billion, continuing growth driven by and adaptive demands. A key enabler has been the reduced NRE barrier, allowing startups and enterprises to complex systems on FPGAs before committing to ASIC production, thereby accelerating time-to-. Industry shifts in the and underscore FPGA maturation, with consolidation among leaders and democratization via open-source ecosystems. Intel's $16.7 billion acquisition of Altera in 2015 integrated FPGA expertise into its CPU portfolio, enhancing hybrid CPU-FPGA offerings for datacenter acceleration. Similarly, AMD's $35 billion all-stock acquisition of Xilinx in , completed in , combined FPGA leadership with x86 and GPU technologies to target and markets. Concurrently, the rise of open-source tools in the , notably the Yosys Open SYnthesis launched in , has lowered entry barriers by providing free alternatives to flows, supporting for various FPGA architectures and fostering innovation in academic and hobbyist communities.

Fundamentals

Definition and Basic Principles

A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or designer after manufacturing to implement custom digital logic functions through an array of programmable logic blocks interconnected by programmable routing resources. This post-fabrication configurability distinguishes FPGAs from mask-programmed devices like application-specific integrated circuits (ASICs), enabling users to adapt the hardware for specific applications without requiring new silicon fabrication. The core operating principle of an FPGA relies on reconfigurability via configuration memory, typically implemented using (SRAM) cells that store configuration bits to control the behavior of logic elements and interconnects. These bits program multiplexers and other elements to route signals and define logic operations, allowing the FPGA to emulate diverse circuits from simple to complex systems. Central to FPGA logic implementation are lookup tables (LUTs), small memory arrays that realize any combinational logic function by storing precomputed output values for all possible input combinations. For instance, a 4-input LUT operates as a 16-bit read-only memory (ROM), where the inputs serve as address lines to select the appropriate output bit, enabling the emulation of any Boolean function of four variables without dedicated gate structures. LUTs are paired with flip-flops in configurable logic blocks to support both combinational and sequential logic, providing the foundational building blocks for user-defined designs. A key advanced concept in FPGA operation is partial reconfiguration, which permits dynamic modification of specific regions during without interrupting or resetting the entire . This feature leverages the modular architecture to swap functionality in targeted areas, supporting applications requiring adaptability such as system updates. In terms of operational flow, an FPGA initializes upon power-on by loading a from external into its SRAM-based configuration cells, thereby instantiating the desired behavior. The bitstream is derived from user-specified hardware descriptions authored in hardware description languages (HDLs) like or , which undergo , placement, and routing in (EDA) tools to generate the final file.

Comparison to Fixed Hardware

Field-programmable gate arrays (FPGAs) differ significantly from application-specific integrated circuits () in development timelines and costs. FPGAs enable a shorter time-to-market, often achievable in months through reconfiguration without fabrication, in contrast to , which typically require 12 to 24 months for design, verification, and manufacturing. Additionally, FPGAs incur no (NRE) costs, avoiding the multimillion-dollar expenses associated with ASIC mask sets and prototyping, making them ideal for risk-averse projects. However, offer superior unit at high volumes due to their fixed, optimized structure, while FPGAs carry higher per-unit costs from programmable overhead. In terms of performance and , generally outperform FPGAs by a factor of about 2 to 4 times in clock , stemming from the routing and logic overhead in programmable fabrics that reduces clock speeds and increases . This gap arises because FPGAs must accommodate general interconnects, whereas employ direct, customized wiring for specific functions. Power consumption follows a similar trend, with achieving higher through tailored transistors and minimal leakage, though the disparity has narrowed in modern nodes (e.g., 7 nm and below) as FPGAs incorporate advanced FinFETs and specialized blocks to approach ASIC-like . As of 2025, continued advancements in FPGA , including sub-5 nm nodes and optimized architectures, have further narrowed this gap in many applications. Compared to microprocessors and microcontrollers, FPGAs excel in parallel for compute-intensive tasks such as (DSP), where sequential instruction execution on CPUs limits throughput. For instance, FPGAs can implement custom arithmetic logic units (ALUs) tailored to specific algorithms, processing multiple data streams concurrently without the overhead of general-purpose instruction sets, achieving orders-of-magnitude speedups over software implementations on microcontrollers. This parallelism suits applications requiring filtering or transforms, offloading the host processor to enhance overall system responsiveness. FPGAs also provide advantages over graphics processing units (GPUs) in scenarios demanding low-latency, fixed-function acceleration, such as processing. In low-density parity-check (LDPC) decoding for , FPGA implementations deliver latencies as low as 61.65 μs, outperforming GPU equivalents at 87 μs, due to deterministic pipelines and fine-grained control over flow. However, FPGAs are less inherently suited for floating-point-intensive workloads like certain inferences without embedded hard blocks for multipliers and accumulators, where GPUs leverage massive parallel cores optimized for such operations. Key decision factors for selecting FPGAs over fixed hardware revolve around production volume and flexibility needs. High-volume manufacturing favors for cost amortization, while low-volume runs, prototyping, or evolving standards benefit from FPGAs' reprogrammability and zero NRE. Hybrid solutions, such as system-on-chip () FPGAs like Xilinx's Zynq UltraScale+ MPSoC, integrate hard systems with programmable logic to blend the parallelism of FPGAs with the software ecosystem of microprocessors, offering a balanced alternative for embedded applications.

Architecture

Logic and Programmable Blocks

The core of an FPGA's reconfigurable logic fabric consists of configurable logic blocks (CLBs), which serve as the fundamental units for implementing combinational and sequential digital circuits. Each CLB typically integrates multiple lookup tables (LUTs) for function generation, flip-flops for storage, and internal multiplexers for signal routing within the block, enabling flexible mapping of user-defined logic. In architectures like those from (formerly ), a CLB is subdivided into slices, with each slice containing four 6-input LUTs and eight flip-flops, allowing the block to support a variety of modes including via LUTs, through flip-flop registration, and arithmetic operations using dedicated carry chains. A 6-input LUT can realize any of 64 possible functions by storing the in its memory, while the flip-flops provide synchronous storage with options for clock enable and reset. Internal multiplexers, such as 7-input and 8-input variants, facilitate mode selection and output combining within the slice. In contrast, Intel's FPGAs employ adaptive logic modules () as the basic elements, grouped into logic array blocks (LABs); each ALM features an 8-input fracturable LUT paired with four registers and two dedicated adders, capable of implementing select 7-input functions, all 6-input functions, or two independent smaller LUTs (e.g., 4-input each) to optimize density. Function generation in these blocks relies on LUTs as versatile implementations, where the LUT's configuration defines the output for each input combination, enabling rapid of arbitrary without custom wiring. For functions, dedicated carry enhances efficiency; in designs, a 4-bit ripple-carry per slice uses multiplexers (MUXCY) and exclusive-OR gates to propagate carries, with chains extending across multiple CLBs for wider operations like adders or counters. similarly incorporate embedded adders within the fracturable LUT structure to support fast arithmetic without additional resources. Modern FPGAs achieve high logic density through scaling these blocks, with devices featuring over 1 million LUTs or equivalent elements; for instance, AMD's Versal Premium Gen 2 series offers up to 3.27 million system logic cells, while Intel's Stratix 10 reaches 933,120 . Equivalent gate count is a rough, vendor-specific ; a 6-input LUT is often estimated at 20-30 equivalent gates, so 1 million LUTs approximate 20-30 million gates.

Interconnect and Routing Resources

The interconnect and routing resources in a field-programmable gate array (FPGA) form a programmable wiring that connects configurable blocks, enabling flexible signal paths across the device. This typically consists of horizontal and vertical channels surrounding an array of blocks, with wires segmented into various lengths to balance routability, area, and delay. Short segments facilitate local , while longer segments support global with reduced switch overhead. In island-style architectures, common in FPGAs, this structure occupies 80-90% of the total chip area, underscoring its dominance in . The routing hierarchy relies on connection blocks and switch boxes to interface logic blocks with the channel wires. Connection blocks provide access from logic block pins to the routing channels, with flexibility F_c defined as the fraction of channel tracks accessible per pin (e.g., F_c = 0.5 allows connection to half the tracks). Switch boxes, located at channel intersections, enable turns and continuations between horizontal and vertical wires, characterized by flexibility F_s as the number of outgoing connections per incoming wire (e.g., F_s = 3). Segmented wires in the channels include short (spanning one logic block), medium (two to four blocks), and long lines (spanning many blocks for low-skew global signals), allowing efficient path formation while minimizing switch usage for distant connections. Switch matrices within these blocks are implemented using multiplexers controlled by bits, such as 10:1 or 20:1 multiplexers at intersections to select signal paths. Pass-transistor switches, often NMOS-based with transmission gates, offer compact area but suffer from resistance degradation over multiple hops, impacting . Buffer-based alternatives, employing tri-state inverters or full buffers, maintain drive strength for longer wires but increase area and power; modern FPGAs blend both, with buffers driving longer segments to optimize performance. Routing challenges arise from limited resources, particularly where multiple nets compete for tracks, potentially leading to unroutable designs. Place-and-route tools address this through iterative algorithms like rip-up and retry, where existing routes are torn up in congested areas and rerouted with penalty costs on overuse to promote balanced channel utilization. Channel width, defined as the number of tracks per channel (typically 100-200 in modern devices, though varying by architecture), must be sufficient to accommodate all nets without ; insufficient width increases critical path delays by forcing detours. Performance is significantly influenced by , with delays often comprising 50-70% of the critical path due to wire and , far exceeding logic block contributions. This dominance stems from the programmable nature of interconnects, which introduce extra parasitics compared to fixed . Wire delay can be approximated using the Elmore model: t_{\text{delay}} \approx R \times C \times \text{length} where R and C are and per unit length, highlighting the linear scaling with path length and the need for segmentation to mitigate long-route penalties.

Input/Output and Clocking Systems

Input/Output Blocks (IOBs) in FPGAs serve as programmable interfaces that manage bidirectional data flow between external pins and the internal logic fabric, supporting a wide range of electrical standards to ensure compatibility with diverse systems. These blocks typically accommodate differential signaling protocols such as LVDS for high-speed data transmission and PCIe interfaces up to Generation 5, enabling data rates of 32 GT/s per lane in modern implementations. Additionally, IOBs feature configurable options including weak pull-up or pull-down resistors to stabilize unconnected inputs and programmable slew rate control on outputs to optimize signal integrity and reduce electromagnetic interference. For high-speed applications, integrated transceivers within IOBs, such as Serializer/Deserializer (SerDes) units, operate at rates up to 28 Gbps, facilitating protocols like 100G Ethernet. Clocking resources in FPGAs include dedicated global clock networks designed to distribute timing signals across the device with minimal variation, typically supporting 32 or more dedicated clock lines to handle multiple independent domains. These networks achieve low skew, often below 100 ps peak-to-peak, ensuring synchronized operation of logic elements over large die areas. Phase-Locked Loops (PLLs) and Digital Clock Managers (DCMs), now evolved into Mixed-Mode Clock Managers (MMCMs) in advanced architectures, provide frequency synthesis capabilities, such as multiplying an input clock of 100 MHz to 500 MHz through programmable multiplication factors while allowing phase adjustments for alignment. Clock management systems employ dedicated routing paths to propagate clocks with low , typically under 1 ps for critical paths, minimizing timing uncertainties in high-performance designs. Dynamic phase shifting within PLLs or MMCMs enables adjustments to clock edges, which is essential for interfacing with memory where data strobe (DQS) signals must align precisely with (DQ) lines to capture information correctly. In integration examples, Multi-Gigabit Transceivers (MGTs) incorporate embedded equalization techniques, such as adaptive continuous-time linear equalizers, to compensate for signal degradation over long traces or backplanes at multi-Gbps speeds. Modern FPGAs often provide over 1,000 user I/O pins, allowing extensive external connectivity in applications requiring high pin counts.

Embedded Hard IP Blocks

Embedded hard IP blocks in field-programmable gate arrays (FPGAs) are fixed-function macros fabricated directly into the die to accelerate common operations with superior performance, power efficiency, and resource utilization compared to implementing equivalent functionality using programmable logic. These blocks include dedicated arrays, units, and interface controllers, enabling FPGAs to handle data-intensive tasks like buffering, arithmetic computations, and high-speed communication without consuming configurable resources. By integrating these specialized circuits, FPGA designers can achieve higher throughput in applications such as , networking, and , while the surrounding programmable fabric provides customization around these fixed elements. Block RAM (BRAM) consists of dual-port (SRAM) arrays optimized for on-chip data storage and buffering in FPGAs. Each BRAM block typically provides Kb of , configurable as a single Kb unit or two independent 18 Kb units, with two independent read/write ports supporting simultaneous access from different clock domains. These blocks support true dual-port operation, where both ports can perform read or write actions concurrently, and simple dual-port modes for asymmetric read/write configurations; they are also programmable as first-in-first-out () buffers with built-in FIFO logic for management in pipelines. In high-end devices, such as AMD's Virtex UltraScale+ FPGAs, the aggregate BRAM can reach up to approximately 75 Mb, enabling efficient handling of large datasets in applications like image processing or inference without external memory access. Digital signal processing (DSP) slices are dedicated arithmetic units designed for high-speed multiply-accumulate () operations and other numerical computations prevalent in filtering, , and transform algorithms. Each DSP slice features a 25x18-bit multiplier, a 48-bit post-adder/accumulator, an optional 18-bit pre-adder for input conditioning, and configurable registers to support multi-cycle operations at s up to 550 MHz. These elements enable efficient implementation of functions, where the pre-adder sums inputs before to reduce slice count in symmetric filters, and the stages minimize while maximizing throughput. The overall computational capacity can be estimated as operations per second = × number of slices × effective parallelism per slice; for instance, in AMD's Kintex UltraScale FPGAs with over 2,000 slices operating at 500 MHz and supporting dual multiplies per cycle, this yields peak performance approaching 1 TFLOPS for fixed-point operations in compute-intensive workloads. Beyond memory and arithmetic blocks, FPGAs incorporate other specialized hard for interfacing and processing, such as Ethernet media access controllers (MACs), (PCIe) endpoints, and embedded processor cores in system-on-chip () variants. Ethernet MACs provide hardened support for standards like 10/100/1000 Mbps or up to 100 Gbps, including frame processing and checksum offload to reduce logic overhead in networking applications; for example, AMD's Zynq UltraScale+ devices integrate 100G Ethernet blocks compliant with IEEE 802.3. endpoints handle high-bandwidth data transfer with integrated PHY, data link, and transaction layers, supporting Gen3 (8 GT/s) or Gen4 (16 GT/s) rates, as seen in Intel's Stratix 10 FPGAs with up to 16 lanes per block. In SoC-FPGAs, hard processor systems (HPS) embed ARM Cortex cores for software-defined control; AMD's Zynq-7000 series features dual Cortex-A9 cores at up to 1 GHz with SIMD extensions, while Intel's Stratix 10 SX includes a quad-core Cortex-A53 at 1.5 GHz for hybrid CPU-FPGA acceleration. The primary trade-off of embedded hard IP blocks is their fixed , which delivers up to 10 times higher density and improved compared to soft IP implementations synthesized from configurable , but at the cost of reduced reconfigurability for non-standard functions. For instance, in AMD's UltraScale , hard DSP slices achieve 2-3x better than equivalent soft multipliers due to optimized layout, while in Intel's Stratix 10, integrated PCIe hard IP reduces resource utilization by over 50% versus soft cores, though is limited to parameterizable features like lane width. This balance makes hard blocks essential for performance-critical paths in production designs, with programmable handling surrounding adaptability.

Advanced Architectural Features

Modern field-programmable gate arrays (FPGAs) have evolved to incorporate integrations that combine programmable logic fabric with processors and peripherals, enabling platforms capable of handling diverse workloads efficiently. For instance, 's Zynq UltraScale+ MPSoC family integrates a quad-core application processing unit, dual-core ARM Cortex-R5F real-time processing unit, and a Mali-400 MP2 alongside the FPGA fabric, facilitating seamless coordination between software-defined processing and for applications like vision and automotive systems. These -FPGAs support heterogeneous architectures where CPUs, GPUs, and FPGAs operate in tandem, optimizing power efficiency and performance by assigning tasks to the most suitable compute element, as seen in platforms that leverage FPGA reconfigurability for analytics and . Advancements in three-dimensional (3D) architectures further enhance FPGA capabilities by stacking silicon dies to increase density and reduce interconnect delays. Through-silicon vias (TSVs) serve as vertical interconnects in these stacked structures, enabling direct inter-layer communication that minimizes signal propagation latency compared to traditional two-dimensional routing. AMD's Stacked Silicon Interconnect (SSI) technology, for example, allows multiple FPGA dies to be integrated with lower latency and power consumption, supporting high-bandwidth memory (HBM) stacks in devices like the Virtex UltraScale+ series. Monolithic 3D integrated circuits (ICs) and hybrid stacking approaches, such as those explored in research prototypes, can achieve up to 50% latency reductions in critical paths by shortening wire lengths, while also improving overall throughput for compute-intensive tasks. Intel's Stratix 10 FPGAs, meanwhile, integrate support for memory via high-speed interfaces like PCIe 4.0, allowing FPGAs to leverage persistent, low-latency storage in accelerated systems without full die stacking. Emerging trends in FPGA design emphasize chiplet-based architectures and adaptive tailored for (). AMD's Versal AI Edge series, introduced in , employs modular tiles including AI Engine tiles for scalar, , and tensor processing, enabling dynamic reconfiguration to optimize inference workloads in edge devices like autonomous vehicles and industrial automation. These designs break monolithic structures into specialized interconnect, compute, and I/O tiles, improving yield, scalability, and performance; for example, next-generation Versal FPGAs like the VP1902 achieve up to 18.5 million system logic cells, more than doubling the density of prior monolithic implementations. In adaptive AI , FPGA fabrics incorporate dynamic tensor units, such as systolic array-based "Tensor Slices," which replace portions of programmable logic to accelerate operations like convolutions, offering flexibility for evolving architectures without full redesigns. As of 2024, AMD's Versal Gen 2 series, including Premium Gen 2 devices with up to 3.27 million system logic cells and support for PCIe 6.0 and CXL 3.1, further advances integration and performance. Looking toward future directions, FPGA architectures are exploring optical interconnects and quantum-inspired reconfigurability to address and computational limits in exascale systems. Photonic promises to replace electrical interconnects with light-based links, reducing power dissipation and enabling terabit-per-second data rates for and , as demonstrated in prototypes combining with FPGA controllers. Quantum-inspired approaches, meanwhile, leverage FPGA reconfigurability to emulate quantum hardware behaviors, such as dynamic partial reconfiguration for simulating operations or error correction, paving the way for hybrid classical-quantum accelerators in scalable platforms. These innovations, still in early research phases, aim to extend FPGA versatility into domains requiring ultra-low latency and probabilistic paradigms.

Configuration and Programming

Configuration Memory Technologies

The configuration memory in field-programmable gate arrays (FPGAs) stores the bitstream that programs the device's , , and other resources, determining its functionality after fabrication. Different memory technologies offer trade-offs in , reconfiguration speed, power efficiency, , and environmental resilience, influencing their adoption in various applications from to space systems. SRAM-based memories dominate due to their reprogrammability, while non-volatile options like and prioritize reliability and low power, and emerging types like and MRAM address limitations in and harsh conditions. SRAM-based configuration is volatile and widely used in over 60% of FPGAs as of 2024, particularly in high-density devices from () and . Upon power-off or reset, the loses its contents, requiring reloading of the from external non-volatile storage such as or during initialization, which typically takes milliseconds (e.g., over 200 ms for a Spartan-3 XC3S200). This technology enables rapid in-system reconfiguration in tens of milliseconds but consumes more power due to the need for external devices and clears automatically on , making it suitable for prototyping and applications tolerant of startup delays. Antifuse-based memory is non-volatile and one-time programmable (OTP), forming permanent connections via metal-oxide breakdown during programming, which provides inherent design security and eliminates the need for external configuration storage. Employed in Microchip's (formerly ) ProASIC and RTG4 series for radiation-hardened space applications, it achieves near-instant power-up times of about 60 µs and offers high reliability with no reconfiguration capability post-programming. This technology excels in fixed-function, high-security environments like but lacks flexibility for iterative designs due to its OTP nature. Flash and EEPROM-based memories are non-volatile with multi-time programmability, supporting 100 to 10,000 erase/write cycles depending on the , and integrate directly on-chip for simplified designs and low power. Lattice Semiconductor's iCE40 and MachXO2 families use for low-power systems, enabling reconfiguration in microseconds (around 50 µs) and internal booting without external memory. Microchip's ProASIC3 series leverages for space-grade FPGAs, consuming roughly one-third the power of SRAM equivalents while providing reprogrammability and tolerance of 25 to 30 krad(). These are favored in battery-powered or size-constrained applications requiring occasional updates. Emerging non-volatile technologies like (ferroelectric RAM) and (magnetoresistive RAM) aim to combine instant-on capability, unlimited endurance, and robustness for demanding environments. offers low-power operation (similar to SRAM but non-volatile) and high radiation hardness, with densities up to 2 Mb suitable for booting space-grade FPGAs and processors, making it attractive for low-earth orbit missions where SEU immunity and minimal power draw are critical. , using magnetic tunnel junctions, provides superior endurance (over 10^15 cycles in some variants), faster configuration (e.g., x8 widths at 160 MHz), and resilience to extreme temperatures and radiation, as integrated in Lattice's Certus-NX and Avant FPGAs with Everspin partners. These technologies trade higher initial costs for overcoming Flash's endurance limits and SRAM's volatility, targeting edge , automotive, and sectors.

Programming Process and Tools

The programming process for an FPGA begins with the of a (HDL) design into a gate-level , followed by place-and-route implementation to map the logic onto the device's resources, culminating in the generation of a file that encodes the data. This is then downloaded to the FPGA, typically via interfaces such as for debugging and initial programming or for high-speed from external . JTAG download speeds can reach up to 25 Mbps depending on the cable and device, while SPI modes, particularly quad-SPI, enable rates up to approximately 100 MB/s in modern devices like Intel Stratix 10 FPGAs. Partial reconfiguration allows dynamic updates to specific regions of the FPGA fabric without halting the entire device, enabling efficient resource reuse in applications requiring adaptability. For instance, swapping 10% of the fabric might take on the order of milliseconds to seconds, depending on the bitstream size and speed, as reconfiguration overhead scales with the modified area. This process involves loading partial s through the internal configuration access port (ICAP) or external , with tools managing region isolation to prevent glitches during updates. Vendor-specific tools streamline this , integrating , , , and generation. AMD's Design Suite handles HDL to produce optimized netlists, performs placement and routing for timing closure, and supports behavioral, post-, and post- simulations to verify functionality before programming. Similarly, Intel's Quartus Prime software compiles designs through and fitting stages, generating while integrating with for comprehensive , including waveform viewing and testbench modifications during the design flow. The open-source ecosystem has grown significantly since 2015, providing alternatives to proprietary tools for greater accessibility and customization. Tools like nextpnr serve as a timing-driven place-and-route , supporting devices such as iCE40, ECP5, and experimental architectures when paired with Yosys for , enabling full generation without . The SymbiFlow project, initiated around 2018 as part of broader efforts to create a fully open toolchain, extends this by targeting commercial FPGAs like 7-series through data-driven flows for , placement, and . FPGA boot modes determine how the is loaded at , loading into SRAM-based for operation. Master serial mode (mode pins 000) has the FPGA generate the configuration clock (CCLK) and read from an external at 1-bit width, while slave serial mode (111) relies on an external clock source for daisy-chaining multiple devices. Parallel flash mode, or master BPI (010), interfaces with NOR at 8- or 16-bit widths for faster loading, with the FPGA driving addresses and reading synchronously or asynchronously. In processor-driven modes like slave SelectMAP (110), common in SoC FPGAs with embedded cores, an external processor supplies via an 8-, 16-, or 32-bit bus, allowing software-controlled and integration with system processes.

Design Entry and Synthesis Methods

Design entry for field-programmable gate arrays (FPGAs) primarily involves hardware description languages (HDLs) such as , , and , which allow designers to specify behavior at the (RTL) or behavioral level. These languages enable the description of digital circuits through structural, dataflow, or behavioral constructs, facilitating and into FPGA fabric. High-level synthesis (HLS) provides an alternative entry method by converting higher-level languages like C, C++, or Python into RTL code suitable for FPGAs. Tools such as Vitis HLS from AMD automate this process, transforming algorithmic descriptions—such as loops—into pipelined hardware accelerators to improve throughput. For instance, pragmas like #pragma HLS PIPELINE can schedule loop iterations to achieve an initiation interval of 1 cycle, enabling concurrent execution on FPGA resources. The synthesis process begins with , which applies transformations such as constant propagation to eliminate redundant logic by substituting constant values through the design, and retiming to reposition registers for better timing balance. Following optimization, technology mapping decomposes the logic into lookup tables (LUTs) and flip-flops, inferring sequential elements from HDL constructs like always blocks in . This step targets the FPGA's programmable logic blocks, ensuring the netlist aligns with device architecture. Optimization techniques during balance area and speed trade-offs, often through pipelining, which inserts registers to divide critical paths and potentially double the achievable clock frequency at the cost of increased resource usage. , including equivalence checking, confirms that the synthesized behaves identically to the source, detecting discrepancies from optimization or errors. These methods ensure functional correctness without exhaustive . Soft cores, such as the RISC processor from , are configurable intellectual property (IP) blocks implemented entirely in FPGA fabric using tools. Resource utilization for these cores varies by configuration; for example, a basic microcontroller variant on a Kintex UltraScale+ device consumes approximately 2,228 LUTs and achieves 399 MHz, while an application-optimized version uses 8,020 LUTs at 281 MHz. Utilization is typically calculated as the percentage of resources employed, given by the formula: \% \text{ used} = \left( \frac{\text{LUTs placed}}{\text{total LUTs}} \right) \times 100 This metric helps assess fit within the target FPGA.

Manufacturers and Industry Landscape

Leading Manufacturers

Advanced Micro Devices (AMD) emerged as the dominant force in the FPGA market following its $49 billion acquisition of Xilinx in October 2022, integrating Xilinx's extensive portfolio into its adaptive computing offerings. AMD's high-end FPGA lines, such as the Virtex UltraScale+ and Versal series, target demanding applications requiring superior performance and scalability, while the Spartan family addresses cost-sensitive, low-power needs with features like high I/O density and advanced security. As of 2025, AMD commands approximately 50% of the global FPGA market share, bolstered by its multi-node portfolio spanning 7nm to 16nm processes. Intel solidified its FPGA presence through the 2015 acquisition of Altera for $16.7 billion, which expanded its capabilities in programmable logic. In September 2025, Intel sold a 51% stake in Altera to Silver Lake for approximately $4.46 billion (valuing the business at $8.75 billion), retaining a 49% minority interest while granting Altera operational independence to accelerate innovation in AI and high-performance computing. Altera's Stratix and Arria families deliver high-performance solutions optimized for bandwidth-intensive tasks, whereas the Cyclone series focuses on embedded and cost-effective designs suitable for edge computing. Altera emphasizes integrated FPGA-CPU architectures, notably pairing its devices with Xeon processors via coherent interfaces to accelerate data center workloads, as seen in products like the Xeon Scalable 6138P with embedded Arria 10 GX FPGA. Holding around 30% market share in 2025, Altera's strategy leverages its ecosystem for hybrid computing, with potential for growth following the Silver Lake investment. Among other notable players, specializes in low-power FPGAs, with its iCE40 series enabling ultra-low-power applications and the platform offering enhanced performance efficiency on 28nm FD-SOI technology for small-form-factor designs. Microchip Technology's PolarFire FPGAs stand out for radiation-tolerant variants, such as the RTPF500ZT, which provide no-configuration-upset reliability for and environments without the power overhead of SRAM-based alternatives. Achronix focuses on ultra-high-speed FPGAs, exemplified by the Speedster7t family, which supports up to 12 Tbps fabric and 400 Gbps Ethernet for high-bandwidth networking. Historical shifts in the FPGA landscape include the rise of specialized providers like QuickLogic, which develops eFPGA and sensor processing hubs for always-on edge , and Efinix, targeting applications with cost-effective, high-density alternatives. Asian manufacturers, such as Gowin Semiconductor founded in 2014, have gained traction as affordable entrants, offering FPGA solutions like the series for consumer and industrial uses, reflecting growing regional competition post-2022 mergers. The global field-programmable gate array (FPGA) market reached approximately USD 9.9 billion in 2020 and is projected to attain USD 11.73 billion in 2025, reflecting a (CAGR) of around 10% during this period. By 2030, the market is expected to expand to USD 19.34 billion, driven by a CAGR of 10.5% from 2025 onward. Key segments include data centers, which account for about 30% of the market share due to demand for ; automotive applications, comprising roughly 20% amid the rise of advanced driver-assistance systems (ADAS) and electric vehicles; and , holding approximately 35% as networks evolve. Primary growth drivers encompass and (ML) acceleration, where FPGAs offer customizable for tasks; the deployment of and emerging infrastructure, requiring flexible ; and , enabling low-latency data handling in distributed systems. Economically, FPGAs exert significant impact by lowering ASIC prototyping expenses, as their reprogrammability avoids costly mask sets and iterations that can exceed tens of millions per design cycle, collectively saving the billions in development outlays across high-volume sectors like consumer devices and . Challenges include persistent supply chain disruptions from the 2021-2023 semiconductor shortages, which delayed FPGA availability and inflated prices, alongside intensifying competition from GPUs in workloads due to the latter's mature software ecosystems like . Looking ahead, the market is forecasted to surpass USD 20 billion by 2030, bolstered by innovations in quantum-resistant designs to counter emerging cryptographic threats from . Additionally, advancements in low-power FPGAs support sustainability efforts in , reducing energy consumption in data centers and edge devices to align with global environmental goals.

Applications

Prototyping and Development Uses

Field-programmable gate arrays (FPGAs) play a crucial role in ASIC and prototyping by enabling the of complete chip designs prior to fabrication. Modern FPGAs, such as those based on Virtex UltraScale architectures, can emulate designs equivalent to up to 25 million ASIC gates on a single device, allowing engineers to verify complex hardware functionality at near real-time speeds. This capability supports hardware-software co-verification, where is tested alongside the hardware using debug probes and interfaces like or high-speed serial links to monitor signals and inject stimuli in real time. Such approaches reduce risks associated with design errors that could otherwise require costly respins. FPGA-in-the-loop simulation further enhances prototyping by integrating hardware descriptions with software-based tools for algorithm validation. In this method, an HDL implementation is deployed to an FPGA board and interfaced with or models, allowing test scenarios and data to be applied directly from the software environment to the for synchronized execution. This setup facilitates rapid verification of in a , with reconfiguration times typically under a week, in contrast to several months for ASIC fabrication and testing cycles. In education and research, FPGAs enable hands-on learning and experimentation through affordable boards. The Digilent Basys 3, priced at around $165 and featuring an Artix-7 FPGA, serves as an introductory platform for teaching digital design concepts, complete with switches, LEDs, and expansion options for student projects. Open-source efforts, such as implementations of processor cores on these boards, allow researchers and students to prototype custom architectures and explore instruction set extensions without constraints. The primary benefits of FPGA prototyping include 10-100 times faster iteration cycles compared to traditional or ASIC , enabling pre-silicon validation that catches issues early and minimizes respins. For instance, in automotive , FPGAs support hardware-software in a pre-silicon environment, accelerating compliance with standards like and reducing overall time by allowing extensive software validation before physical prototypes are available.

Embedded Systems and Signal Processing

Field-programmable gate arrays (FPGAs) are widely utilized in embedded systems to implement custom peripherals that enhance flexibility and performance in resource-constrained environments such as (IoT) devices and automotive applications. In automotive systems, FPGAs enable precise through (PWM) generation directly in the programmable fabric, allowing for real-time adjustments to speeds via adaptive algorithms integrated as IP cores. This approach supports high-bandwidth for interior permanent magnet motors, facilitating efficient control with wide-bandgap devices. Similarly, in IoT edge nodes, FPGAs serve as customizable interfaces for and bridging, reducing in compared to microcontroller-based solutions. Video processing pipelines in embedded systems benefit significantly from FPGAs' parallel architecture, enabling operations like and conversion on platforms such as the Zybo Z7 board. These pipelines process high-resolution streams at frame rates exceeding 30 , making FPGAs suitable for applications in surveillance and automotive cameras where low-power is essential. FPGAs also play a key role in advanced driver assistance systems (ADAS) for tasks, such as from and inputs, achieving deterministic timing critical for safety-critical operations. In (DSP), FPGAs excel at implementing (FIR) and (IIR) filters, as well as (FFT) engines, leveraging dedicated DSP slices for high-throughput computations. These slices support sampling rates up to 1 GSPS, enabling efficient that delivers microsecond-level latencies—orders of magnitude faster than millisecond delays typical on CPUs—for tasks like audio and image filtering. The parallelism inherent in FPGA architectures provides a 27-fold over CPUs in FIR filter implementations, with even greater advantages in low-latency scenarios over GPUs. Telecommunications applications, particularly in New Radio (NR), employ FPGAs for processing, including adaptive equalization to mitigate channel impairments in millimeter-wave links. FPGA-based equalizers handle discrete multi-tone modulation with timing recovery, converging rapidly to optimize at gigasample rates. In and domains, radiation-hardened FPGAs like Microchip's RTG4 series are deployed for and satellite communications, featuring SEU-hardened registers and high-speed transceivers tolerant to harsh radiation environments. These devices support up to 151,824 registers and 24 lanes of 3.125 Gbps , ensuring reliable operation in space missions for compression and .

High-Performance Computing and AI

Field-programmable gate arrays (FPGAs) have become integral to high-performance computing (HPC) by enabling custom accelerators tailored for supercomputing environments, where they support specialized floating-point operations through both soft and hard intellectual property (IP) cores. In supercomputers, FPGAs facilitate efficient handling of complex numerical computations, such as those required in scientific simulations and data processing pipelines. For instance, Microsoft Azure deploys Intel Arria 10 FPGAs as accelerators for Bing search ranking, optimizing query processing and improving throughput in large-scale data analysis tasks. Soft IP cores, implemented via configurable logic blocks, allow flexible precision floating-point arithmetic, while hard IP, like dedicated DSP slices in modern FPGAs, provides high-speed multipliers and adders for sustained performance in HPC workloads. In and (AI/ML), FPGAs excel as inference engines for convolutional neural networks (s), leveraging techniques like and quantization to deploy efficient 8-bit models that reduce and without significant accuracy loss. The AMD Alveo U280 accelerator card, for example, delivers up to 24.5 tera operations per second (TOPS) for INT8 CNN inference, enabling real-time processing in data centers for applications like image recognition and . Compared to graphics processing units (GPUs), FPGAs offer advantages in sparse and low-batch workloads, where their reconfigurable architecture minimizes overhead for irregular data patterns and small inference batches, achieving lower in scenarios like personalized recommendations. FPGAs also enhance data center operations through specialized tasks such as packet processing for high-speed networking and database acceleration, where they handle massive data flows with superior efficiency. In networking, FPGAs support 400G Ethernet implementations using PAM4 modulation for low-latency packet parsing and forwarding, critical for cloud-scale infrastructures. For databases, FPGAs accelerate query execution in systems like , performing operations such as joins and aggregations directly in hardware to boost by orders of magnitude over CPU-only setups. Regarding power efficiency, FPGAs can provide 2-5 times better energy utilization than GPUs for fixed-function accelerations in s, due to their ability to optimize for specific algorithms without the parallelism overhead of GPUs. Emerging trends in FPGA deployment for HPC and AI include broader support for open standards like for parallel programming and Intel's toolkit for optimized inference on FPGAs, facilitating easier integration into hybrid AI pipelines. These tools enable developers to port C++-based models to FPGA hardware with minimal reconfiguration. Recent advancements as of 2025 point toward hybrid edge-cloud architectures, where FPGAs bridge distributed AI training and inference across heterogeneous environments, enhancing scalability for large language models, as well as growing applications in telecommunications and edge AI for autonomous systems.

Security and Reliability

Security Vulnerabilities and Attacks

Field-programmable gate arrays (FPGAs) face significant security threats due to their reconfigurable nature, which exposes the and underlying hardware to various attack vectors aimed at (IP) theft, malfunction induction, or unauthorized control. These vulnerabilities arise primarily from the reliance on external configuration memory and the integration of third-party components, making FPGAs susceptible to both passive extraction of sensitive designs and active insertion of malicious logic. Attackers exploit these weaknesses to compromise systems in critical applications, such as devices and environments. Bitstream reverse engineering represents a primary threat, enabling adversaries to extract proprietary designs from configured FPGAs. Side-channel attacks, such as differential analysis, have successfully decrypted bitstreams in Virtex-II Pro devices by monitoring consumption during the decryption process, revealing (LUT) configurations and key material. Similarly, advanced side-channel techniques have fully broken the bitstream encryption in 7-series FPGAs, allowing complete recovery of the configuration data through non-invasive or electromagnetic analysis. Recent as of 2025 has also identified static side-channel attacks exploiting undervolting or brownout conditions in powered-down FPGAs to extract sensitive without active clock . IP theft via readout further exacerbates this risk, as unprotected interfaces permit direct extraction of bitstreams from the device's configuration memory, bypassing encryption in unhardened setups. Hardware Trojans introduce malicious functionality into FPGA designs, often during or of third-party , posing risks. These Trojans can manifest as backdoors that activate on specific triggers, such as rare input patterns, to leak data or alter behavior without detection during normal operation. In scenarios involving third-party cores, untrusted vendors may embed Trojans that create covert channels for or modify , as demonstrated in analyses of FPGA-based systems where Trojans evade standard . compromises amplify this threat, with Trojans potentially inserted at design houses or fabrication stages, leading to widespread deployment in trusted hardware ecosystems. Physical attacks target the FPGA hardware directly, compromising non-volatile or volatile storage elements. In antifuse-based FPGAs, such as older devices, the technology provides resistance to invasive attacks like the chip package and probing the antifuse array, as the programmed configuration is difficult to reveal due to the physical structure and scale of the fuses. For SRAM-based FPGAs in networked settings, remote exploits analogous to have been shown feasible; for instance, FPGAhammer induces voltage faults in shared cloud FPGAs by repetitive activation patterns, causing bit flips in block RAM (BRAM) and enabling denial-of-service or from untrusted tenants. Vulnerabilities in reconfiguration processes expose FPGAs to and tampering, particularly in dynamic or remote scenarios. Man-in-the-middle attacks during over-the-air updates can and alter bitstreams transmitted to volatile FPGAs, as volatile configurations lack inherent persistence against such exploits. In FPGA-as-a-Service platforms, remote reconfiguration allows malicious users to exploit partial reconfiguration flaws, such as address faults, to inject erroneous logic or escalate privileges across isolated regions. These risks are heightened in multi-tenant environments, where unverified updates propagate exploits without physical access.

Protection Techniques and Best Practices

Field-programmable gate arrays (FPGAs) employ encryption to protect data from unauthorized access and tampering, typically using AES-256 algorithms with device-unique keys stored in secure memory such as battery-backed RAM (BBRAM) in (now ) devices. This approach ensures that the can only be decrypted using the FPGA-specific key, preventing cloning or . Authentication is integrated via or AES-GCM modes, verifying bitstream integrity during loading; for instance, UltraScale+ FPGAs use -SHA for this purpose, halting configuration if tampering is detected. The U.S. Department of Defense's 2025 FPGA Security Guidance recommends using AES-256 in GCM or CTR mode with NIST CAVP validation, alongside CNSA-compliant asymmetric authentication (e.g., or ECDSA) performed before decryption, and validated Hardware Security Modules (HSMs) for key generation and management. Secure boot processes in FPGAs leverage volatile Physically Unclonable Functions (PUFs) to generate unique keys on-device, enhancing partitioning in multi-tenant environments by deriving ephemeral keys that cannot be cloned due to manufacturing variations. In Zynq UltraScale+ devices, PUFs produce "black keys" stored in encrypted form for authentication, supporting secure partitioning of FPGA resources. For cloud-based multi-tenant FPGAs, remote attestation protocols verify the integrity of loaded bitstreams and runtime configurations, allowing tenants to confirm without trusting the host infrastructure. Best practices for FPGA security include obfuscation techniques, such as inserting dummy logic or remapping resources to hinder , which can be combined with for layered protection at low overhead. of (IP) cores ensures absence of backdoors or vulnerabilities through mathematical proofs of security properties, as applied in mission-critical FPGA designs. Disabling interfaces post-configuration mitigates debugging-based attacks; 28-nm FPGAs support secure mode, activated via or instructions to block non-essential access. In system-on-chip () FPGAs, hardware roots of trust like 's Secure Device Manager provide immutable for and attestation. The guidance further advises implementing tamper detection sensors with automatic responses (e.g., key zeroization), preferring flash-based FPGAs for internal storage, and following NIST SP 800-57 for key rotation and end-of-life destruction procedures. To address reliability against soft errors, which can compromise security in radiation-prone environments, error-correcting codes (ECC) are implemented on block RAM (BRAM), enabling single-error correction and double-error detection in Xilinx FPGAs. For single-event upsets (SEUs) in space applications, triple modular redundancy (TMR) replicates critical logic modules and uses majority voting to mask faults, though it incurs approximately 3x area overhead. These techniques ensure continued secure operation by maintaining configuration integrity against environmental threats.

Programmable Logic Devices

Programmable logic devices (PLDs) encompass a of integrated circuits that allow users to implement custom digital logic functions through reconfiguration, serving as precursors and complements to field-programmable arrays (FPGAs). These devices evolved from early logic replacements to more sophisticated structures, categorized primarily into PLDs (SPLDs) and PLDs (CPLDs), each suited to specific scales and applications. Unlike FPGAs, which emphasize for large designs, PLDs prioritize and predictability in smaller contexts. Simple programmable logic devices (SPLDs), such as (PAL) and (GAL) devices, are the most basic form of reprogrammable logic, designed for straightforward combinational and sequential functions like glue logic in systems. SPLDs typically feature a programmable AND array feeding a fixed OR array, enabling the implementation of sum-of-products expressions with limited flip-flops for state storage, and they operate in technologies like for reliable, one-time or limited reprogramming. With low pin counts generally under 100—often 16 to 28 pins—and capacities equivalent to hundreds of gates, SPLDs excel in cost-sensitive, low-complexity tasks such as decoding or buffering, but lack the density for broader integration. Examples include the classic 22V10 GAL, which provides 10 macrocells and supports up to 12 inputs per cell. Complex programmable logic devices (CPLDs) extend SPLD concepts to higher densities, incorporating multiple macrocells organized around shared arrays within logic array blocks, interconnected via a fixed global routing structure. This architecture, often based on sea-of-gates or product-term , supports a few thousand to tens of thousands of gates—typically 256 to 512 macrocells—making CPLDs suitable for small-scale state machines, protocol bridges, and control logic where fast and predictable timing are critical. occurs in nanoseconds upon power-up due to non-volatile or storage, offering lower power and simpler flows than FPGAs, though with reduced routing flexibility from the centralized interconnect. Notable examples include the CoolRunner-II family, which uses a 1.8V -based for ultra-low power consumption (under 100 µA static) and high-speed operation up to 400 MHz. Key differences between these PLDs and FPGAs lie in scale, , and use cases: SPLDs and CPLDs handle designs up to a few thousand gates with fixed or semi-fixed interconnects for deterministic performance, ideal for small, fast state machines, while FPGAs accommodate 100,000+ gates via a programmable of lookup tables (LUTs) and switch matrices, enabling complex, flexible routing at the expense of longer configuration times (milliseconds) and variable timing analysis. CPLDs, for instance, avoid the routing congestion of FPGAs' distributed , providing pin-locking and easier verification for , but they cannot scale to data-intensive applications without multiple devices. The evolution of PLDs traces back to the 1970s with the introduction of programmable read-only memories (PROMs) and the first PAL devices in 1978 by Monolithic Memories Inc., which replaced discrete logic for basic functions. The 1980s saw CPLDs emerge as multi-array extensions, with FPGAs following in 1985 via Xilinx's XC2064, shifting toward array-based programmability. By the 2020s, hybrid devices blending FPGA flexibility with CPLD-like instant-on and low-density features have appeared, such as Semiconductor's Certus-NX , which integrates up to 39,000 logic cells in small packages with non-volatile options for and secure control. In 2025, introduced the MachXO5-NX TDQ , offering support in low-power programmable logic for enhanced security in systems. This progression reflects ongoing demands for power efficiency and integration in systems.

Alternative Hardware Acceleration Options

Graphics processing units (GPUs) offer massive parallelism suited for graphics rendering and workloads, with devices like the A100 featuring 6912 cores and 432 tensor cores for accelerated operations. However, GPUs typically consume more , such as the A100's 400 W (TDP), compared to high-end FPGAs that often operate at around 100 W while providing greater customizability through reconfigurable logic for specialized tasks. This flexibility allows FPGAs to interface directly with diverse hardware via customizable I/O, whereas GPUs rely on fixed architectures optimized for general-purpose . Tensor processing units (TPUs) and application-specific integrated processors (ASIPs), such as Google's s, are designed for tensor operations in , delivering high efficiency for fixed workloads like convolutional neural networks. While s provide up to 10 times the energy efficiency of GPUs for specific models due to their tailored architecture, they lack the reconfigurability of FPGAs, limiting adaptability to non-standard tasks. FPGAs, in contrast, enable custom pipelines that can achieve superior and power savings for diverse scenarios beyond rigid TPU optimizations. Neuromorphic chips, exemplified by Intel's Loihi, emulate brain-like with asynchronous processing for event-driven computations, supporting up to 130,000 neurons on a single chip. Quantum annealers like D-Wave's Advantage system accelerate problems through quantum tunneling effects, handling over 5,000 qubits for tasks intractable on classical hardware. FPGAs are preferred for low-latency, protocol-specific acceleration, such as cryptographic operations, where implementations like point multiplication on FPGAs achieve low-microsecond latencies unattainable by more general accelerators. Hybrid systems integrating CPUs, FPGAs, and GPUs in data centers, as seen in Microsoft's configurations, leverage each component's strengths—CPUs for , GPUs for parallel training, and FPGAs for real-time acceleration—to optimize overall workload efficiency. Emerging post-2020 alternatives include hardware, such as photonic processors from Lightmatter, which perform matrix multiplications at light speed with significantly lower energy use than electronic counterparts, targeting AI inference in large-scale environments.

References

  1. [1]
    FPGA Fundamentals: Basics of Field-Programmable Gate Arrays
    ### Summary of FPGA Fundamentals from NI
  2. [2]
    1.1. FPGA Overview - Intel
    Field-programmable gate arrays (FPGAs) are integrated circuits that you can configure repeatedly to perform an infinite number of functions.
  3. [3]
    FPGAs: - UMD Computer Science - University of Maryland
    An FPGA is a logic chip comprising cells of logic blocks connected with software-configurable interconnections; it is basically a blank slate in which a ...Missing: features | Show results with:features
  4. [4]
    None
    ### Summary of FPGA Timeline & Applications
  5. [5]
    1978: PAL User-Programmable Logic Devices Introduced
    John Birkner and HT Chua of Monolithic Memories develop easy-to-use programmable array logic (PAL) devices and tools for fast prototyping custom logic ...Missing: late 1970s
  6. [6]
    How the FPGA Came To Be, Part 3 - EEJournal
    Dec 13, 2021 · “The PAL is an extension of the fusible link technology pioneered by Monolithic Memories for use in bi-polar PROMs. The fusible link PROM ...
  7. [7]
    The History, Status, and Future of FPGAs - ACM Queue
    Jul 22, 2020 · FPGAs (field-programmable gate arrays) have been hitting a nerve in the ASIC community since their inception. In the mid-1980s, Ross Freeman and ...
  8. [8]
    How the FPGA Came To Be, Part 5 - EEJournal
    Dec 27, 2021 · Vonderschmitt, Freeman, and Barnett officially founded Xilinx in February 1984. Vonderschmitt planned to focus Xilinx on designing innovative ...
  9. [9]
    [PDF] Xilinx and the Birth of the Fabless Semiconductor Industry
    The result came to be known as the FPGA. Ross Freeman, inventor of the FPGA ... Vonderschmitt, Freeman, and Barnett officially founded Xilinx in February 1984.<|control11|><|separator|>
  10. [10]
    US4870302A - Configurable electrical circuit ... - Google Patents
    A configurable logic array comprises a plurality of configurable logic elements variably interconnected in response to control signals to perform a selected ...
  11. [11]
    [PDF] Untitled
    Overall, the XC 2064 supplies 1,000 to 1,SOO gate equivalents (the standard measure of gate-array complexity). The device is therefore com- parable to ...<|control11|><|separator|>
  12. [12]
    The History, Status, and Future of FPGAs
    Oct 1, 2020 · FPGAs started to be adopted for first versions of telecom equipment, which initiated the FPGA price conflict. While the price of the FPGA ...
  13. [13]
    [PDF] DSP:Designing for Optimal Results
    The DSP48 slices available in all Virtex-4 family members support new DSP algorithms and higher levels of DSP integration than previously available in FPGAs.
  14. [14]
    A Brief History of FPGAs - SemiWiki
    Aug 26, 2012 · Seiko started manufacturing the first FPGAs for Xilinx in 1985 using a very mature 1.2m process. The first Xilinx FPGA was a 1,000 ASIC gate ...
  15. [15]
    [PDF] The Roles of FPGAs in Reprogrammable Systems
    FPGA-based reprogrammable systems are revolutionizing some forms of computation and digital logic. As a logic emulation system they provide orders of ...Missing: definition | Show results with:definition
  16. [16]
    [PDF] The design of an SRAM-based field-programmable gate array-part I
    FPGA's were first introduced in 1986 by Xilinx Inc., San. Jose, CA, using a memory-based programming technology. [3]. Since then, there have been many new ...
  17. [17]
    [PDF] 18-643 Lecture 3: FPGA on Moore's Law
    An Early Multiplier Blocks. Xilinx Virtex-II, circa 2000. [Virtex-II Pro and Virtex-II. Pro X Platform FPGAs]. Where are these hard DSP slices? How to get to ...
  18. [18]
    AMD Completes Acquisition of Xilinx
    Feb 14, 2022 · AMD acquired Xilinx in an all-stock transaction, creating a leader in high-performance computing. Xilinx stock is no longer listed. Former  ...
  19. [19]
    FPGA Market to Reach $9.9B by 2020 - EE Times
    — The global Field Programmable Gate Array (FPGA) market is expected to reach USD 9,882.5 million by 2020, according to a new study by Grand View Research, Inc.
  20. [20]
    Yosys Open SYnthesis Suite :: About - YosysHQ
    Yosys also serves as backend for several tools that use formal methods to reason about designs, such as sby for SMT-solver-based formal property checking or mcy ...Download · Documentation · Screenshots
  21. [21]
    What is FPGA? – Arm®
    Field Programmable Gate Arrays (FPGAs) are integrated circuits often sold off-the-shelf. They're referred to as 'field programmable'.<|control11|><|separator|>
  22. [22]
    Using the Design Security Features in Intel® FPGAs
    During device operation, FPGAs store configuration data in SRAM configuration cells. Because SRAM memory is volatile, the SRAM cells must be loaded with ...
  23. [23]
    Purpose and Internal Functionality of FPGA Look-Up Tables
    Nov 9, 2017 · FPGA makes use of its LUTs as a preliminary resource to implement any logical function. This is actually a two-phase process.Missing: principle | Show results with:principle<|separator|>
  24. [24]
    Lesson 4: What is a Look-Up Table (LUT)? - Nandland
    Jun 30, 2022 · The way that FPGAs are able to do Boolean algebra is by using Look-Up Tables (LUTs). A Look-Up Table is a discrete block of functionality that ...
  25. [25]
    Look-Up Tables - How Does an FPGA Work? - SparkFun Learn
    The two inputs to the LUT are the select inputs of the multiplexer. By programming the multiplexer inputs to be whatever we want, we can use this LUT to ...
  26. [26]
    Overview of Lookup Tables (LUT) in FPGA Design - HardwareBee
    Apr 17, 2019 · A Lookup Table, as the name suggests, is an actual table that generates an output based on the inputs. Here is an example for a lookup table ...
  27. [27]
    4.10. Partial Reconfiguration - Intel
    Partial reconfiguration (PR) allows you to reconfigure a portion of the FPGA dynamically, while the rest of the FPGA design continues to function.
  28. [28]
    [PDF] Partial Reconfiguration of Xilinx FPGAs - Doulos
    Partial Reconfiguration is where part of the FPGA is reprogrammed, using a partial bitstream, while the rest of the FPGA continues to operate without ...
  29. [29]
    Generating the Bitstream or Device Image - 2025.1 English - UG908
    The bitstream data file can be generated using the write_bistream Tcl command or by using the Generate Bitstream button in the Vivado flow navigator.
  30. [30]
    [PDF] Standard Cell ASIC to FPGA Design Methodology and Guidelines
    FPGAs offer a viable and competitive option to traditional standard cell ASIC development by reducing the risk of re-spins, high NRE costs, and time-to-market.
  31. [31]
    Healthcare and Medical Solutions | Altera FPGA
    Unlike ASICs, FPGAs avoid high upfront NRE costs and large order requirements. They allow reprogramming, enabling quick updates and design improvements. ...Missing: comparison | Show results with:comparison
  32. [32]
    Three Ages of FPGAs: A Retrospective on the First Thirty Years of ...
    Apr 14, 2015 · By the mid-1980s, dozens of companies were sell- ing ASICs, and in the fierce competition, the winning at- tributes were low cost, high capacity ...
  33. [33]
    Measuring the gap between FPGAs and ASICs | Request PDF
    Aug 6, 2025 · Since ASIC implementations typically achieves a maximum of 10X performance improvement over their FPGA ... 5 times slower than an ASIC ...
  34. [34]
    [PDF] Top 10 Reasons to Replace Your Microcontroller with a MAX ... - Intel
    These accelerator or co-processing units can run in parallel to the Nios V processor, executing function orders of magnitude faster than software execution.
  35. [35]
    [PDF] Optimizing DSP System Designs Optimizing DSP System Designs
    May 31, 2006 · System Generator for DSP provides abstractions that enable you to devel- op highly parallel systems in Xilinx. FPGAs, providing system modeling.
  36. [36]
    LDPC Hardware Acceleration in 5G Open Radio Access Network ...
    decoding latency measurement that the GPU provides is 87us while the FPGA provides 61.65us. The FPGA also has an ex- ecution time advantage when decoding 25 ...<|separator|>
  37. [37]
    AMD Virtex™ UltraScale+™ FPGAs
    Flexible hardware acceleration, low latency operation, high-speed switching capability are critical in 5G baseband. Virtex UltraScale FPGAs offers dynamic and ...
  38. [38]
    A Survey on FPGA-based Heterogeneous Clusters Architectures
    Jun 21, 2023 · integrated circuits (ASICs), FPGAs are traditionally used in applications with low production volumes that require high throughput and low ...
  39. [39]
    [PDF] Zynq UltraScale+ MPSoC Embedded Design Methodology Guide
    Mar 31, 2017 · The Zynq® UltraScale+™ MPSoC platform offers designers the first truly all-programmable, heterogeneous, multiprocessing system-on-chip (SoC) ...
  40. [40]
    7 Series FPGAs Configurable Logic Block User Guide (UG474)
    Describes the capabilities of the configurable logic blocks (CLBs) available in all AMD™ 7 series FPGAs.
  41. [41]
    1.13. Adaptive Logic Module (ALM) - Intel
    The ALM is used for efficient logic implementation, has 8 inputs, 4 registers per LUT, and enables high performance and optimal logic utilization.
  42. [42]
    AMD Versal Premium Series
    The Versal Premium series delivers industry-leading adaptive signal processing capacity by integrating AI Engines.Product Advantages · Applications & Industries · Product Specifications
  43. [43]
    [PDF] Stratix® 10 DX FPGA Product Table - Intel
    Logic elements (LEs)1. 1,325,000. 2,073,000. 2,753,000. Adaptive logic modules (ALMs). 449,280. 702,720. 933,120. ALM registers. 1,797,120. 2,810,880.
  44. [44]
    FPGAs: System gates or logic cells/elements? - EE Times
    Nov 16, 2010 · The idea is to convert each logic function in an ASIC design (multiplexer, register, whatever) into some number of equivalent gates.Missing: density | Show results with:density
  45. [45]
    [PDF] FPGA Architectures: An Overview
    An FPGA comprises of an array of programmable logic blocks that are connected to each other through programmable interconnect network. Programmability in FPGAs ...
  46. [46]
    [PDF] A Tutorial on FPGA Routing
    Increasing the flexibility of the switch box, the connection box and the number of wires per channel makes routing a trivial problem [17] as all possible ...
  47. [47]
    [PDF] fpga routing structures: a novel switch block and - People
    In Chapter 3, we propose a new switch block that has been opti- mized specifically for segmented architectures. The Interconnect Matrix is a key routing ...
  48. [48]
    [PDF] FPGA Routing Architecture: Segmentation and Buffering to Optimize ...
    Consequently, such an FPGA can likely use a higher fraction of pass transistor-based switches in its routing than an FPGA that contains few long wires.
  49. [49]
    [PDF] A Fast Routability-Driven Router for FPGAs
    In each of these subsequent routing iterations, every net is ripped up and re-routed. Since the cost of over-used track segments is increased every routing ...<|separator|>
  50. [50]
    [PDF] Analysis of Impact of FPGA Routing Architecture Parameters on ...
    Channels are defined by the channel width W, equal to the number of routing tracks per channel. Usually, W is constant throughout the CLB array. However, in ...
  51. [51]
    [PDF] Minimizing Interconnection Delays in Array-based FPGAs
    Unlike mask-programmed technologies where combinational delay dominates, in FPGAs interconnect accounts for a very signifi- cant 40-60 percent of total delay [1] ...
  52. [52]
    [PDF] Modelling Routing Delays in SRAM-based FPGAs
    Abstract. This paper presents an efficient technique for esti- mating the propagation delay of signals in SRAM- based FPGAs, by using an analytic model of ...
  53. [53]
    [PDF] XA Spartan-3A Automotive FPGA Family Data Sheet
    Feb 5, 2021 · Input/Output Blocks (IOBs) control the flow of data between the I/O pins and the internal logic of the device. IOBs support bidirectional data ...
  54. [54]
    [PDF] R-Tile Avalon® Streaming Intel® FPGA IP for PCI Express* Design ...
    Apr 12, 2024 · It supports the Gen5 x16, 1024-bit interface Hard IP Mode, with a ... decoding, and I/O address decoding in the Endpoint PCIe control register.
  55. [55]
    PULLTYPE Attribute - UG861
    Input buffers, 3-state outputs, and bidirectional buffers can have a weak pull-up resistor, a weak pull-down resistor, or a weak keeper circuit.
  56. [56]
    [PDF] 6. I/O Features in Cyclone IV Devices - Intel
    Mar 6, 2016 · The Cyclone IV IOE includes programmable delays to ensure zero hold times, minimize setup times, increase clock-to-output times, and delay the ...
  57. [57]
    [PDF] Agilex™ 5 FPGAs and SoCs Device Overview - Intel
    High-speed GTS transceivers up to 28.1 Gbps. — PCI Express* (PCIe*) 4.0 ×8 support. — 10/25GbE MAC, PCS, FEC Hard IP. — General purpose I/Os ...
  58. [58]
    [PDF] Stratix® 10 Clocking and PLL User Guide - Intel
    Aug 16, 2022 · Describes the Stratix 10 device programmable clock routing network and I/O PLLs for clock management and synthesis.<|separator|>
  59. [59]
    [PDF] 7 Series FPGAs Clocking Resources User Guide (UG472)
    Mar 1, 2017 · PSCLK – Phase-Shift Clock. This input pin provides the source clock for the dynamic phase-shift interface. All other inputs are synchronous ...
  60. [60]
    [PDF] Intel Agilex 7 Clocking and PLL User Guide
    Jul 13, 2023 · Intel Agilex® 7 FPGA F-Series and I-Series devices contain dedicated resources for distributing signals throughout the fabric.
  61. [61]
    Dynamic Phase Shift Interface in the MMCM - UG572
    The dynamic phase shift amount is common to all the output clocks selected. The variable phase shift is controlled by the PSEN, PSINCDEC, PSCLK, and PSDONE ...Missing: DDR | Show results with:DDR
  62. [62]
    Clock Signal Management: Clock Resources of FPGAs
    Sep 21, 2018 · This article will review the common FPGA resources that allow us to efficiently generate and distribute clock signals throughout a system.
  63. [63]
    [PDF] Interfacing DDR SDRAM with Stratix II Devices - Intel
    The DQS phase-shift circuitry shifts the DQS signal to center-align the signal with the DQ signal at the IOE register, ensuring the data will get latched at the ...
  64. [64]
    AMD High Speed Serial Technologies
    AMD offers GTH, GTY, and GTM transceivers with speeds from 3.2Gb/s to 112Gb/s, supporting various protocols and auto-adaptive equalization for backplanes and ...
  65. [65]
    FPGA I/O Features Help Lower Overall PCB Costs - EEJournal
    Aug 10, 2004 · FPGAs with 1000+ pin counts pose a problem when they are incorporated into the board schematic. Manually placing and connecting this multitude ...
  66. [66]
    Block RAM Summary - AM007
    Block RAM stores up to 36 Kbits, configurable as two 18 Kb or one 36 Kb RAMs, with two read/write ports. It supports synchronous operations and independent  ...
  67. [67]
    Block RAM - UG585 - AMD Technical Information Portal
    Jun 30, 2023 · Every Zynq 7000 SoC device has between 60 and 465 dual-port block RAMs, each storing 36 Kb. Each block RAM has two completely independent ports ...
  68. [68]
    1.4.1.8. True Dual-Port Synchronous RAM - Intel
    Intel FPGA synchronous memory blocks have two independent address ports, allowing for operations on two unique addresses simultaneously.
  69. [69]
    [PDF] Virtex-5 Special Edition Virtex-5 Special Edition
    Solve SI challenges and simplify PCB layout with our sparse chevron packaging. And enable greater DSP precision and dynamic range with 550 MHz, 25x18 MACs.
  70. [70]
    [PDF] Charge to Market with Xilinx 7 Series Targeted Design Platforms
    with the DSP48E1 slice, consisting of a. 25 x 18-bit two's complement multiplier and 48-bit accumulator. The Synopsys. Synplify Premier FPGA logic-synthesis.
  71. [71]
    1. About E-tile Hard IP Design Examples - Intel
    Simulating the E-Tile Hard IP for Ethernet Intel FPGA IP Design Example Testbench x. 2.1.3.1. Fast Sim Model for E-tile Ethernet IP for Intel Stratix 10 FPGA.
  72. [72]
    AMD Zynq™ UltraScale+™ MPSoCs
    Vast distributed on-chip memory: LUTRAM, Block RAM, UltraRAM, L3 Cache, minimizing memory access latency and allowing accelerators or co-processors to achieve ...<|control11|><|separator|>
  73. [73]
    What is heterogeneous compute? - Arm
    Heterogeneous computing refers to systems that use multiple types of processors—such as CPUs, GPUs, ASICs, FPGAs, and NPUs—each designed for specific tasks.
  74. [74]
    Fpga-centric Hyper-heterogeneous Computing Platform for Big Data ...
    Mar 12, 2025 · We present FpgaHub, an FPGA-centric hyper-heterogeneous computing platform for big data analytics. The key idea of FpgaHub is to use reconfigurable computing.
  75. [75]
    An evolutionary approach to implement logic circuits on three ...
    Jul 15, 2021 · The main idea in 3D integration is stacking the dies and connecting them using vertical interconnections called Through Silicon Vias (TSVs). The ...
  76. [76]
    [PDF] Xilinx Stacked Silicon Interconnect Technology Delivers ...
    Dec 11, 2012 · This white paper explores the technical and economic challenges that led. Xilinx to develop SSI technology and innovations that make it possible ...
  77. [77]
    High-performance, cost-effective heterogeneous 3D FPGA ...
    Feb 22, 2009 · In this paper, we propose novel architectural and design techniques for three-dimensional field-programmable gate arrays (3D FPGAs) with ...
  78. [78]
    Intel ships Stratix 10 DX FPGAs with PCIe 4 and Optane support ...
    Sep 23, 2019 · 3D XPoint is a relatively new memory type that not only offers significantly better throughput than NAND flash memory, but speedier DRAM-like ...
  79. [79]
    AMD Versal AI Edge Series
    The Versal AI Edge series delivers high performance, low latency AI inference for intelligence in automated driving, predictive factory and healthcare systems.Applications & Industries · Product Specifications · For All Developers
  80. [80]
    Tensor Slices: FPGA Building Blocks For The Deep Learning Era
    In this paper, we describe replacing a portion of the FPGA's programmable logic area with Tensor Slices. These slices have a systolic array of processing ...
  81. [81]
    Charting the Photonic Future of AI Interconnect | Inside HPC & AI News
    Jul 16, 2025 · The industry is developing solutions that bring optical input/output (I/O) interfaces closer to XPU and switch silicon.Missing: inspired | Show results with:inspired
  82. [82]
    Quantum Computing: Navigating the Future of Computation ... - MDPI
    This paper highlights both the potential and the challenges of quantum computing and discusses the essential role of middleware, quantum hardware development,
  83. [83]
    [PDF] Live at Power-Up: PLD Effects on System Design
    PLDs based on nonvolatile memory technologies, such as Flash, EEPROM, and antifuse, store their configuration on chip. This eliminates the need to download ...
  84. [84]
    Field Programmable Gate Array (FPGA) Market Size & Opportunities ...
    The SRAM category held the largest market share, of 60%, in 2024, because SRAM offers lots of advantages to users, such as flexibility, high performance ...
  85. [85]
    [PDF] Configuring Altera FPGAs - Intel
    Dec 15, 2014 · During device operation, Altera FPGAs store configuration data in SRAM cells. Because SRAM memory is volatile, the SRAM cells must be loaded ...
  86. [86]
    [PDF] 0.25 µm FLASH Memory Based FPGA for Space Applications
    The purpose of this paper is to introduce the technical capabilities of the ProASIC architecture and to discuss issues with the performance of the ProASIC FPGA ...
  87. [87]
    [PDF] Understanding Actel Antifuse Device Security - Microchip Technology
    Actel antifuse FPGAs are secure due to their one-time programming, non-volatile nature, and the fact that no programming data is stored within the device. The ...
  88. [88]
    Small size FPGAs: Actel or Lattice | Forum for Electronics
    Jun 30, 2012 · They can be reconfigured (with an endurance of ~500 cycles). Right, I was referring to Lattice iCE40 devices only being able to be configured ...<|separator|>
  89. [89]
    [PDF] FPGA Configuration Flash Memory AT17F32 - Microchip Technology
    • Endurance: 10,000 Write Cycles Typical. • LHF Package Available (Lead and Halide Free). 1. Description. The AT17F Series of In-System Programmable ...
  90. [90]
    2 Mb FRAM is ready to serve space-grade FPGAs, processors - EDN
    Apr 14, 2022 · A new ferroelectric RAM (FRAM) memory chip claims to overcome the two main challenges confronted by space designs: radiation and limited power.
  91. [91]
    (PDF) Use of FRAM Memories in Spacecrafts - ResearchGate
    Jan 3, 2020 · Use of FRAM Memories in Spacecrafts ; to the comparison with Flash devices. As a summary, FeRAM devices are attractive for use in small space ...
  92. [92]
    [Blog] From Flash to MRAM: Meeting the Demands of Modern FPGA ...
    Jan 17, 2025 · FPGAs traditionally used flash memory, but MRAM offers higher endurance, faster speeds, and is more reliable for harsh conditions, making it a ...
  93. [93]
    The Power of FPGA and MRAM for Next-Generation Aerospace ...
    As the aerospace industry continues to evolve, the combination of FPGA and MRAM offer the ideal foundation for building resilient, high-performance systems.
  94. [94]
    Vivado Design Suite User Guide: Synthesis (UG901) - 2025.1 English
    Details using AMD Vivado™ synthesis to transform an RTL design into a gate-level netlist for implementation in an AMD FPGA, using SystemVerilog, Verilog, ...Missing: simulation | Show results with:simulation
  95. [95]
    Generate Bitstream and Program FPGA - MATLAB & Simulink
    The bitstream is a file that contains all the information required to implement the HDL code you generated for your algorithm on the FPGA of your radio device.
  96. [96]
    What is the Maximum speed that can be used for the JTAG Clock?
    The maximum recommended JTAG clock speed is 25 MHz for Commercial/Industrial devices, and 10 MHz for Automotive devices.
  97. [97]
    2.8. Changing the TCK Frequency - Intel
    To change TCK frequency, use `jtagconfig --setparam <cable number> JtagClock <frequency><unit prefix>`. Supported rates are 24 MHz, 16 MHz, 6 MHz, and 24/n MHz ...
  98. [98]
    FPGA JTAG Configuration Timing - Intel
    The minimum TCK clock period is 167 ns if V CCBAT is within the range 1.2 V – 1.5 V when you perform the volatile key programming. ... A 1-ns adder is required ...
  99. [99]
    [PDF] Increasing Design Functionality with Partial and Dynamic ... - Intel
    Jul 1, 2010 · Partial reconfiguration improves logic density by removing the need to implement functions that do not operate simultaneously in the FPGA. Using.
  100. [100]
    [PDF] FPGA Dynamic and Partial Reconfiguration: A Survey of ...
    This survey is an attempt to bring together the wide body of work in the specific area of dynamic and partial reconfiguration from the perspectives of ...Missing: rates | Show results with:rates
  101. [101]
    Running Post-Synthesis and Post-Implementation Simulations
    At post-synthesis and post-implementation, you can run a functional or a Verilog timing simulation. The following figure illustrates the post-synthesis and ...
  102. [102]
    Quartus® Prime Design Software | Altera® FPGA
    The Quartus® Prime Software is a multiplatform environment that includes everything you need to design FPGAs, SoC FPGAs, and CPLDs.
  103. [103]
    1. ModelSim* - Intel® FPGA Edition Simulation Quick-Start ( Intel®...
    This document demonstrates how to simulate an Intel® Quartus® Prime Standard Edition design in the ModelSim*- Intel® FPGA Edition simulator.
  104. [104]
    nextpnr portable FPGA place and route tool - GitHub
    nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool. Currently nextpnr supports: Lattice iCE40 devices supported by Project ...Missing: 2015 | Show results with:2015
  105. [105]
    [PDF] Yosys+nextpnr: an Open Source Framework from Verilog to ... - arXiv
    Abstract—This paper introduces a fully free and open source software (FOSS) architecture-neutral FPGA framework compris- ing of Yosys for Verilog synthesis, ...
  106. [106]
    [PDF] An Open-Source Design Flow for Commercial and Novel FPGAs
    We show that a data-driven approach is possible: SymbiFlow can fully map designs to the commercial Xilinx Artix. 7 devices with open-source synthesis, placement ...
  107. [107]
    Symbiflow Open Source FPGA Toolchain - Hackaday
    Nov 1, 2019 · Started off with Xilinx and now working with Intel/Altera. As far as the tooling is concerned the free versions of Vivado (and ISE) on Xilinx ...
  108. [108]
    AMD Vivado™ Design Entry & Implementation
    AMD Vivado™ supports design entry in traditional HDL like VHDL and Verilog. It also supports a graphical user interface-based tool called the IP Integrator ...
  109. [109]
    Quartus® Prime Design Software Support Center - Altera
    The Quartus® Prime software integrated synthesis tool supports the synthesis of VHDL, Verilog, SystemVerilog, and legacy Altera® FPGA-specific design entry ...
  110. [110]
    [PDF] My First FPGA Design Tutorial - Intel
    Design Flow. The standard FPGA design flow starts with design entry using schematics or a hardware description language (HDL), such as. Verilog HDL or VHDL.
  111. [111]
    Vitis High-Level Synthesis User Guide (UG1399) - 2025.1 English
    Sep 10, 2025 · Introduction · Navigating Content by Design Process · Supported Operating Systems for Vitis HLS · Obtaining a Vitis HLS License · Changed Behavior ...Introduction to Vitis HLS... · Obtaining a Vitis HLS License · HLS Pragmas · Pointers
  112. [112]
    Constant Propagation (Default) - 2025.1 English - UG904
    Constant Propagation propagates constant values through logic, which results in: Eliminated logic: For example, an AND with a constant 0 input.Missing: FPGA Intel
  113. [113]
    [PDF] Integrating Logic Synthesis, Technology Mapping, and Retiming
    This paper discusses a synthesis approach, which combines logic synthesis, technology mapping, and retiming into a single integrated flow. The same.<|separator|>
  114. [114]
    2.2. HDL Design Guidelines - Intel
    Jun 20, 2022 · This section describes basic design techniques that ensure optimal synthesis results for designs that target Intel FPGA devices while avoiding ...<|separator|>
  115. [115]
    Logic synthesis for field-programmable gate arrays - IEEE Xplore
    In this paper, we consider the problem of configuring Field Programmable Gate Arrays (FPGA's) so that some given function is computed by the device.
  116. [116]
    [PDF] Area-Efficient Pipelining for FPGA-Targeted High-Level Synthesis
    We pro- pose an exact formulation of the throughput-constrained, mapping- aware pipeline scheduling problem for FPGA-targeted high-level synthesis with area ...
  117. [117]
    Formal Verification - XST/ISE - Frequently Asked Questions (FAQs)
    Equivalence checking is used for design implementation verification. ... A6) You should use equivalency checking throughout the FPGA implementation flow.
  118. [118]
    Equivalence Checking for FPGA - Siemens Digital Industries Software
    Equivalence checking for FPGA verifies RTL code to synthesized netlists and final FPGA designs, using formal equivalence checking technology.
  119. [119]
    MicroBlaze™ - AMD
    MicroBlaze is a 32/64-bit RISC soft processor core with a rich instruction set, optimized for embedded applications, and offers flexibility in features.
  120. [120]
    Resource Utilization - 2025.1 English - UG1629
    The MicroBlaze V resource utilization for various parameter configurations are measured for the following devices: Virtex 7 devices Table 1 Kintex 7 devices ...
  121. [121]
    Top FPGA Technology Companies in 2025 AMD, Intel, Microchip
    Jun 18, 2025 · AMD, after acquiring Xilinx for $49 billion in 2022, has emerged as the undisputed leader in the FPGA domain. In 2025, its Versal Adaptive ...<|control11|><|separator|>
  122. [122]
    AMD FPGAs
    A low-cost, small footprint FPGA to take your software-defined technology to the next level, AMD FPGAs provide system integration while optimizing for ...Spartan™ UltraScale+ · Virtex UltraScale+ · Artix UltraScale+ · Kintex UltraScale+
  123. [123]
    AMD Deep Dive: From Underdog to AI & Data Center Contender
    Feb 20, 2025 · With Xilinx, AMD became the market leader in FPGAs, holding an estimated 50-55% market share, compared to Intel's Altera (~30%). FPGAs ...
  124. [124]
    Field-Programmable Gate Array (FPGA) Market Size, Share & Trends
    The Field-Programmable Gate Array (FPGA) market is projected to reach USD 19.34 billion in 2030 from USD 11.73 billion in 2025, growing at a CAGR of 10.5% from ...
  125. [125]
  126. [126]
    Intel launches Xeon with integrated FPGA - Data Center Dynamics
    May 18, 2018 · Intel has launched a multi-chip module integrating a Xeon Skylake processor with a field-programmable gate array (FPGA).
  127. [127]
    Top FPGA Manufacturers: Leading Companies in the FPGA Industry
    The FPGA market demonstrates remarkable concentration, with AMD commanding approximately 55% of the global market share, while Intel (Altera) holds around 30%.
  128. [128]
    Lattice Nexus Platform | Industry-leading Low Power, Small ...
    The Lattice Nexus FPGA platform combines Lattice's long-standing low power FPGA expertise with leading 28nm FD-SOI semiconductor manufacturing technology.Missing: iCE | Show results with:iCE
  129. [129]
    RT PolarFire® FPGAs | Microchip Technology
    The RTPF500ZT RT PolarFire FPGA is now QML Class Q qualified, offering low-power, radiation-tolerant performance with no configuration upsets to meet the ...
  130. [130]
    Speedster7t FPGAs - Achronix Semiconductor Corporation
    The Speedster7t FPGA family is optimized for high-bandwidth workloads and eliminates the performance bottlenecks associated with traditional FPGAs.Missing: ultra- | Show results with:ultra-
  131. [131]
    QuickLogic: Homepage-Slider
    A trusted partner for the Critical Infrastructure Sector by delivering purpose-built eFPGA IP, specialized FPGA devices and user tools.Rich History · Careers · Blog · QuickLogic CorporationMissing: sensor hubs Efinix mid- Gowin Asian player
  132. [132]
    GOWIN Semiconductor: Home
    Founded in 2014, GOWIN Semiconductor is a leading global provider of innovative FPGA devices, dedicated to accelerating customer innovation and optimizing ...GOWIN EDA Home · Gowin isp · Arm DesignStart FPGA Program · Arora V FPGAsMissing: QuickLogic hubs Efinix Asian player
  133. [133]
    FPGA Industry worth $19.34 billion by 2030 - MarketsandMarkets
    Oct 27, 2025 · The global FPGA market value is projected to be USD 19.34 billion by 2030, growing from USD 11.73 billion in 2025, at a Compound Annual ...
  134. [134]
    Field Programmable Gate Array (FPGA) Market Size and Share
    Jul 6, 2025 · The field programmable gate array market size reached USD 10.08 billion in 2025 and is forecast to expand to USD 16.23 billion by 2030 at a 10.00% CAGR.Missing: 2020 | Show results with:2020
  135. [135]
    Field-Programmable Gate Array (FPGA) Market - Market.us
    The Global Field-Programmable Gate Array (FPGA) Market size is expected to be worth around USD 39.0 Billion by 2033, from USD 10.9 Billion in 2023, growing ...
  136. [136]
    Field Programmable Gate Array (FPGA) Market Size to 2035
    Oct 10, 2025 · Field programmable gate array (FPGA) market size was valued at US$ 10.3 Bn in 2024 and is projected to reach US$ 24.3 Bn by 2035, ...
  137. [137]
    ASIC Prototyping and ASIC VS FPGA Which One to Consider?
    Oct 30, 2023 · FPGA is less expensive than ASIC, especially for low-volume or prototype applications. This is because FPGA offers reduced initial and one-time ...
  138. [138]
    FPGA vs ASIC: Key differences every ECE student should know
    Oct 18, 2025 · A single mask re-spin costs millions of dollars. Catching bugs at this stage saves cost, reduces time-to-market, and ensures product reliability ...<|control11|><|separator|>
  139. [139]
    To secure reprogrammable chips, the US must address supply chain ...
    Jul 30, 2025 · This report analyzes the FPGA supply chain for US firms and the trade-offs these companies make among risks to cost, availability, and security; ...
  140. [140]
    AI Impact Analysis on FPGA Industry: Key Revenue Insights
    Apr 23, 2025 · Additionally, competition from GPUs and ASICs, which dominate large-scale AI training, limits FPGA adoption in certain niches. However ...<|control11|><|separator|>
  141. [141]
    [Blog] How FPGAs Enable Flexible, Secure, Green ...
    Nov 18, 2024 · For example, low power and compact FPGAs reduce the overall power consumption and design footprint, and simplify thermal management ...
  142. [142]
    GreenFPGA: Evaluating FPGAs as Environmentally Sustainable ...
    Jul 9, 2024 · This paper introduces GreenFPGA, a tool estimating the total carbon footprint (CFP) of FPGAs over their lifespan, considering design, manufacturing, ...
  143. [143]
    [PDF] Protium S1 FPGA-Based Prototyping Platform | Cadence
    All FPGAs are Xilinx. Virtex-Ultrascale XCVU440 devices providing up to 25M ASIC gates capacity ... 10 GbE or single-channel. 40 GbE. • 16X USB3.0/2.0 A, AB ...
  144. [144]
    [PDF] Hardware Emulation for Software Validation (Part 1): Physical and ...
    co-verification and testing the integration of hardware and software. Hardware Emulation for Software Validation. (Part 1): Physical and. Virtual Probes. 1 ...
  145. [145]
    FPGA-in-the-Loop - MATLAB & Simulink - MathWorks
    FPGA-in-the-Loop · Verify HDL implementations directly against algorithms in Simulink® or MATLAB®. · Apply data and test scenarios from Simulink or MATLAB to the ...FPGA-in-the-Loop SimulationExecute Free-Running FPGA ...
  146. [146]
    [PDF] (When) Will FPGAs Kill ASICs?
    Lack of reconfigurability is a huge opportunity cost of ASICs. FPGAs offer ... Gate count requirement for ASICs. Cost. Cost. Density. Density. Performance.Missing: 1980s | Show results with:1980s
  147. [147]
    Basys 3 AMD Artix™ 7 FPGA Trainer Board: Recommended for Introductory Users
    ### Summary of Basys 3 AMD Artix™ 7 FPGA Trainer Board
  148. [148]
    Design and Implementation of a RISC V Processor on FPGA
    This paper describes the design of a light weight, open-source implementation of a RISCV processor using modern hardware design teclmiques.
  149. [149]
    ZynqParrot: A Scale-Down Approach to Cycle-Accurate, FPGA ...
    Sep 24, 2025 · By doing so, RTL similar to tapeout designs can be emulated with cycle accuracy at 10-100x faster than simulation alone. However, modern designs ...
  150. [150]
    Fast-tracking ECU development - AI Online
    Virtual prototyping is used to develop and debug designs in the pre-silicon environment months. ... FPGA prototyping plays a role here too. The virtual ...
  151. [151]
    [PDF] A Full Break of the Bitstream Encryption of Xilinx 7-Series FPGAs
    Aug 12, 2020 · In summary, the known attacks to the Xilinx bitstream encryption on 7-Series devices are all physical in nature (side- channel analysis, optical ...
  152. [152]
    [PDF] Remote Exploitation of FPGA-as-a-Service Platforms
    However, the FPGAs' reconfigurable nature poses unique security and privacy challenges in a shared cloud environment. In this paper, we aim to understand the ...Missing: man- middle air
  153. [153]
    [PDF] FPGA Bitstream Security: A Day in the Life - SAILIN
    Attacks: Side channel attacks (SCA) have been applied to earlier generations of FPGAs to extract encryption keys by collecting information through unintended ...
  154. [154]
    [PDF] New Security Threats on FPGAs: From FPGA Design Tools ...
    The most common attacks reported in the literature are. (1) hardware Trojan insertion to build a covert channel or modify the original function at the developer ...
  155. [155]
    Hardware trojan attacks in FPGA devices: Threat analysis and ...
    In this paper, we analyze hardware Trojan attacks in FPGA considering diverse activation and payload characteristics and derive a taxonomy of Trojan attacks in ...
  156. [156]
    Case of Hardware Trojan Detection in FPGAs - MDPI
    Aug 8, 2024 · Hardware trojan attacks involve injecting malicious circuitry into integrated circuits (ICs) during any stage of the manufacturing process or ...
  157. [157]
    How to Protect Intellectual Property in FPGAs Devices-Part 1
    Aug 24, 2005 · Antifuse devices are also secure against invasive attacks involving decapping and probing or visually inspecting the part. In an antifuse FPGA ...
  158. [158]
    [PDF] FPGAhammer: Remote Voltage Fault Attacks on Shared FPGAs
    In analogy to rowhammer, we also cause faults through repetitive activation patterns, here to affect the supply voltage of an FPGA. This attack is precise ...
  159. [159]
    [PDF] Security for volatile FPGAs
    Using. FPGAs as an adversarial tool, a man-in-the-middle attack against these devices is demonstrated. An FPGA-based defense is also demonstrated: the first ...
  160. [160]
    Fault Attack Leveraging Address Reconfiguration Exploits in Multi ...
    Feb 21, 2025 · In this paper, we present FLARE, a fault attack that exploits vulnerabilities in the partial reconfiguration process, specifically while a user bitstream is ...
  161. [161]
    BBRAM - XAPP1267
    BBRAM - XAPP1267 Using Encryption and Authentication to Secure an UltraScale/UltraScale+ FPGA Bitstream (XAPP1267)
  162. [162]
    [PDF] Bootgen User Guide
    Dec 14, 2022 · Key source arguments: • efuse: The AES key is stored in eFUSE. This is the default value. • bbram: The AES key is stored in BBRAM. Chapter ...<|separator|>
  163. [163]
    Bitstream Authentication - XAPP1267
    Bitstream authentication uses AES-GCM encryption with built-in authentication, ensuring the bitstream is unmodified. If authentication fails, the device will ...
  164. [164]
    Authenticated encryption for FPGA bitstreams - ACM Digital Library
    This paper describes the SHA HMAC-based bitstream authentication algorithm and protocol in Virtex-6 FPGAs and shows how they are integrated in the bitstream.<|separator|>
  165. [165]
    Use Secure Boot Features to Protect Your Design - GitHub Pages
    Aug 1, 2022 · The AES key is stored in either eFUSEs (encrypted or unencrypted), battery backed random access memory (BBRAM) (unencrypted only), or in ...
  166. [166]
    How do you secure an FPGA bitstream?
    Apr 3, 2025 · Securing an FPGA bitstream is critical to protecting intellectual property (IP) and preventing unauthorized tampering or reverse engineering ...
  167. [167]
    [PDF] Trusted Configuration in Cloud FPGAs
    Jul 26, 2023 · By leveraging remote attestation, the trust is extended to the other config- urable components of the trusted shell, i.e., the verification. & ...
  168. [168]
    Multi-Tenant Cloud FPGA: A Survey on Security, Trust, and Privacy
    Apr 12, 2025 · This article examines the security concerns associated with multi-tenant cloud FPGAs, provides a comprehensive overview of the related security, privacy and ...
  169. [169]
    [PDF] Robust Bitstream Protection in FPGA-based Systems through Low ...
    In this paper, we present a novel obfuscation approach for provably robust protection of FPGA bitstreams at low overhead that goes well beyond the protection ...Missing: best | Show results with:best
  170. [170]
    [PDF] Exploring Formal Verification Methodology for FPGA-based Digital ...
    The formal verification feature provided by Cryptol tools focus on equivalence checking. Based on SAT and Satisfiability Modulo Theories (SMT), equivalence ...
  171. [171]
    JTAG Secure Mode for 28-nm and 20-nm FPGAs - Intel
    For 28-nm FPGAs, to enable the access of non-mandatory JTAG instructions, you must issue the UNLOCK JTAG instruction to deactivate the JTAG secure mode. You can ...
  172. [172]
    2.1. Hardware Root of Trust - Intel
    The foundational element of the security architecture of Altera® FPGA devices is a hardware root of trust called the Secure Device Manager (SDM).
  173. [173]
    Root of Trust for FPGAs | Security IP - Rambus
    The Root of Trust for FPGAs is a siloed hardware security block optimized for FPGAs, providing secure execution, tamper detection, and secure storage of keys.
  174. [174]
    A High‐Level Synthesis Scheduling and Binding Heuristic for FPGA ...
    Aug 21, 2017 · Although effective, TMR suffers from a 3x area overhead, which can be prohibitive for many embedded usage scenarios. Furthermore, this overhead ...
  175. [175]
    [PDF] FPGA Mitigation Strategies for Critical Space Applications
    Application specific integrated circuit (ASIC). •. Block random access memory (BRAM). •. Block Triple Modular Redundancy (BTMR). •. Clock (CLK or CLKB).Missing: FRAM | Show results with:FRAM
  176. [176]
    [PDF] Architecture of FPGAs and CPLDs: A Tutorial
    This paper provides a tutorial survey of architectures of commercially available high-capacity field-programmable devices (FPDs).
  177. [177]
    Simple Programmable Logic Devices (SPLD) Information - GlobalSpec
    Dec 26, 2022 · Simple programmable logic devices (SPLD) are the simplest, smallest and least-expensive forms of programmable logic devices.Missing: glue | Show results with:glue
  178. [178]
    A Guide to Simple Programmable Logic Devices - RS Components
    Jun 14, 2023 · This logic device has AND and OR gates both of which are programmable, unlike GAL or PAL. This SPLD can be used for decoding and data tasks. PLA ...Missing: pin count
  179. [179]
  180. [180]
    The Ultimate Guide to CPLD - HardwareBee
    Feb 2, 2020 · This article discusses the Complex Programmable Logic Devices (CPLD) history, CPLD family types, CPLD architecture, CPLD clock, and CPLD applications.
  181. [181]
    CPLD vs FPGA: A Comprehensive Technical Analysis and ...
    Nov 20, 2024 · CPLDs employ a centralized architecture centred around AND-OR arrays, forming a monolithic structure designed for direct and efficient signal ...Memory Architecture And... · Implementation And... · Cpld Applications
  182. [182]
    Xilinx DS090 CoolRunner-II CPLD Family of Products
    Sep 11, 2008 · CoolRunner-II CPLD Family Data Sheet (DS090) - Xilinx DS090 CoolRunner-II CPLD Family of Products - DS090. ds090.pdf.
  183. [183]
  184. [184]
    CPLD vs. FPGA: Which Do You Need For Your Digital System?
    Jul 17, 2019 · In terms of the number of logic blocks, an FPGA can contain around 100,000 logic blocks while a CPLD only contains thousands. This means FPGAs ...
  185. [185]
    Certus-NX | Low Power General Purpose FPGA
    The Certus-NX low power general purpose FPGA contains 39k logic cells, with high-speed interface built on the Lattice Nexus platform.Missing: hybrid | Show results with:hybrid
  186. [186]
    NVIDIA A100 PCIe 40 GB Specs - GPU Database - TechPowerUp
    It features 6912 shading units, 432 texture mapping units, and 160 ROPs. Also included are 432 tensor cores which help improve the speed of machine learning ...
  187. [187]
    [PDF] A100 Datasheet - NVIDIA A100 | Tensor Core GPU
    NVIDIA A100 TENSOR CORE GPU SPECIFICATIONS. (SXM4 AND PCIE FORM FACTORS). A100 ... *** 400W TDP for standard configuration. HGX A100-80GB CTS (Custom ...
  188. [188]
    GPUs vs FPGAs - Adaptive Support - AMD
    FPGA, on the other hand, can be used for a broader range of accelerations. It has customizable IOs, so it can interface with any chip (with compatible signal ...Missing: comparison | Show results with:comparison
  189. [189]
    Tensor Processing Units (TPUs) - Google Cloud
    Google Cloud TPUs are custom-designed AI accelerators, which are optimized for training and inference of AI models. They are ideal for a variety of use ...Missing: FPGA | Show results with:FPGA
  190. [190]
    TPU vs GPU: Comprehensive Technical Comparison - Wevolver
    Sep 16, 2025 · Google's latest Ironwood TPUs achieve roughly 30 times better efficiency than the first TPU generation. Programming Ecosystems: TPUs are tightly ...<|separator|>
  191. [191]
    FPGA vs GPU vs TPU : Architectural, Performance & Feature
    Aug 27, 2025 · FPGAs excel in low-latency, real-time inference tasks. GPUs dominate in training large neural networks. TPUs are unbeatable in TensorFlow-based ...
  192. [192]
    Neuromorphic Computing and Engineering with AI | Intel®
    Loihi 2 neuromorphic processors focus on sparse event-driven computation that minimizes activity and data movement. The processors apply brain-inspired ...
  193. [193]
    The Advantage™ Quantum Computer | D-Wave
    This annealing quantum computer is a powerful and energy-efficient system capable of solving computationally complex problems in business and science.
  194. [194]
    Low latency FPGA implementation of twisted Edward curve ... - Nature
    Apr 29, 2025 · This article presents a hardware implementation of field-programmable gate array (FPGA) based modular arithmetic, group operation, and point multiplication unit
  195. [195]
    [PDF] A Cloud-Scale Acceleration Architecture - Microsoft
    Intel's hybrid CPU/FPGA [29] is another example. FPGA boards that included Intel FSB [30], QPI [31], or coherent. HyperTransport [32], [33] are also included.
  196. [196]
    Photonic AI Acceleration - A New Kind of Computer - Lightmatter®
    Apr 9, 2025 · Lightmatter's breakthrough in photonic AI processing transforms AI acceleration, offering unmatched speed and energy efficiency for next-gen ...