Fact-checked by Grok 2 weeks ago

45 nm process

The 45 nm process refers to a generation of semiconductor manufacturing technology that fabricates integrated circuits with gate lengths and other critical features measuring 45 nanometers, enabling approximately twice the density of the prior 65 nm node while reducing power consumption and improving performance. This node marked a pivotal advancement in (complementary metal-oxide-semiconductor) technology, introduced commercially in 2007, and was adopted by leading foundries to sustain by packing billions of s onto chips for applications in processors, mobile devices, and embedded systems. A defining innovation in the 45 nm process was Intel's implementation of high-k metal gate (HKMG) transistors, which replaced traditional gate dielectrics with hafnium-based high-k materials and polysilicon gates with metal gates, reducing gate leakage by more than five times, boosting drive current by more than 20%, and cutting active power by about 30% compared to 65 nm designs. This breakthrough, the first major transistor redesign since the 1960s, allowed to produce the Penryn family of Core 2 processors—such as dual-core models with over 400 million transistors—entering volume production in late 2007 at facilities in and . Meanwhile, TSMC's 45 nm process emphasized low-power optimization using 193 nm and extreme low-k dielectrics, achieving higher speeds, lower energy use, and greater die yield per wafer, as demonstrated by Qualcomm's first 45 nm chips, which were taped out in 2007. The 45 nm node facilitated widespread adoption across the industry, with enabling designs for and applications through its generic low-power process, while Intel's HKMG approach set a precedent for subsequent nodes like 32 nm. Key challenges overcome included quantum tunneling in thin dielectrics and compatibility with high-temperature manufacturing, addressed via and gate-last fabrication techniques. Overall, this process node bridged the transition from planar bulk to more advanced structures, supporting the proliferation of multi-core CPUs, GPUs, and system-on-chips in the late 2000s.

Overview

Definition and Node Characteristics

The 45 nm process represents a milestone in semiconductor fabrication, defined by the International Technology Roadmap for Semiconductors (ITRS) as the generation where the contacted half-pitch of cells measures approximately 45 nm. This metric serves as the primary identifier for the technology , reflecting the of minimum sizes in arrays while accommodating variations in logic dimensions. The emphasized continued dimensional shrinkage to boost density and performance, adhering to classical principles where linear dimensions reduce by about 30% per generation to double counts without proportional power increases. The 45 nm process node was introduced for high-volume manufacturing in 2007–2008 by leading manufacturers like , ahead of the ITRS 2005 projection for half-pitch scaling to 45 nm in 2010, though it aligned with logic scaling goals. Key physical parameters included a typical contacted metal-1 half-pitch of around 45–50 nm for /ASIC interconnect layers and a contacted poly-silicon gate pitch of approximately 120–160 nm. Gate lengths were scaled to 35–40 nm in commercial implementations like 's, supporting effective channel control while mitigating short-channel effects through optimized doping profiles. While ITRS provided projections (e.g., 25 nm gate length for 2007), actual commercial processes like 's featured gate lengths of 35 nm and densities up to 3.33 million s per mm² in logic circuits, demonstrated in representative implementations like multi-core processors. The predominant method was 193 nm (ArF) immersion, which used as an immersion medium to achieve resolutions below the dry wavelength limit, enabling reliable patterning of critical layers without relying on alternatives. At the core of the 45 nm node were planar bulk-silicon MOSFETs, with no widespread adoption of multi-gate structures like FinFETs, which emerged in later generations. These transistors featured scaled channel lengths of 35–40 nm to sustain drive currents above 1 mA/µm at supply voltages near 1 V, while (EOT) was reduced to about 1 nm using high-κ dielectrics as a key enabler for gate stack scaling. Interconnects relied on with low-κ dielectrics, achieving metal-1 half-pitches of 45 nm to support overall densities exceeding 1 billion transistors in practical dies. This configuration prioritized performance-per-watt improvements, with off-state leakage controlled below 100 nA/µm through halo implants and strain engineering.

Significance in Semiconductor Scaling

The 45 nm process node played a pivotal role in sustaining Moore's Law by overcoming key scaling barriers encountered at the preceding 65 nm generation, where continued reliance on silicon dioxide (SiO₂) gate oxides resulted in severe challenges. At 65 nm, gate oxide thicknesses had been scaled to approximately 1.2 nm, leading to exponentially increased gate leakage currents on the order of 100 A/cm² at 1 V due to quantum tunneling effects, which compromised device reliability and exacerbated static power dissipation. This leakage, combined with rising subthreshold currents from shorter channel lengths, drove up power density, making it difficult to maintain performance gains without excessive heat generation and energy consumption in high-density circuits. Furthermore, achieving the required equivalent oxide thickness (EOT) below 1 nm for capacitive drive current improvement while controlling leakage demanded innovative materials beyond traditional SiO₂. The introduction of the 45 nm node enabled a doubling of density compared to 65 nm, allowing for either smaller die sizes with equivalent functionality or higher integration levels on the same footprint, thereby extending the trajectory of exponential complexity growth. benefits included a 30% improvement in switching speed at iso-power or a 20–30% reduction in active power at iso-performance, achieved through optimized scaling that mitigated the leakage issues of prior nodes. High-κ dielectrics briefly referenced here as a key enabler helped achieve sub-1 nm EOT with significantly lower gate leakage, facilitating these gains without reverting to unfeasibly thin SiO₂ layers. Economically, the 45 nm process marked the widespread adoption of high-volume 300 mm production across the , which, despite increased fabrication complexity and wafer costs around $2,000–$5,000 each, yielded a net reduction in cost per through higher yields and improvements. This transition amplified , with costs continuing to decline post-45 nm, albeit at a slower rate than earlier nodes, supporting broader accessibility of advanced computing. In line with International Technology Roadmap for Semiconductors (ITRS) projections from 2005, the 45 nm node featured a contacted metal-1 half-pitch scaling toward 45 nm (projected for 2010), down from approximately 68 nm at the 65 nm node. These metrics underscored the node's contribution to dimensional shrinkage, ensuring continued viability of planar scaling into the late .

Technological Features

High-κ Dielectrics and Metal Gates

In the 45 nm process node, traditional (SiO₂) gate dielectrics were replaced with high-κ materials, such as dioxide (HfO₂) or silicon oxynitride (HfSiON), to maintain while scaling the (EOT) to approximately 0.9–1.0 . pioneered the full high-κ metal gate (HKMG) approach in their 45 nm process, while other manufacturers like paired high-κ dielectrics with traditional polysilicon gates. These high-κ dielectrics, with relative permittivities (κ) ranging from 4 to 24, allowed for physically thicker layers of 1.5–2 , significantly reducing quantum mechanical tunneling and gate leakage currents by factors of 25–1000× compared to SiO₂ or oxynitride () equivalents at the same EOT. This approach addressed the exponential increase in leakage that would occur with direct SiO₂ scaling below 1.2 , enabling continued performance improvements without excessive power dissipation. In Intel's HKMG implementation, metal gates—primarily (TiN) with tuning for both n-type metal-oxide-semiconductor (NMOS) and p-type (PMOS)—were introduced alongside high-κ dielectrics to eliminate polysilicon depletion effects, which previously added an effective 0.3–0.5 nm to the EOT and reduced drive currents by up to 20%. These metals provided dual s near the band edges (around 4.1 for NMOS and 5.2 for PMOS), resolving pinning issues that hindered control in poly-Si gates. By replacing doped polysilicon, metal gates improved utilization and enabled better compatibility with high-κ materials, contributing to enhanced short-channel effects management in 45 nm devices. Integration of high-κ dielectrics and metal gates presented several challenges, including the need for a thin interfacial or SiO₂ layer (0.5–1 nm) to promote , suppress charge , and maintain trap densities below 10¹¹ cm⁻² eV⁻¹. These stacks required up to 1000–1100°C during activation and formation, with Hf-based materials demonstrating amorphous structures resistant to crystallization-induced defects. Compatibility with strained silicon channels was achieved by optimizing deposition processes to minimize , though pMOS shifts of ~0.5 V and interfacial layer re-growth during high-temperature steps remained key hurdles. The adoption of high-κ dielectrics and metal gates in the 45 nm process yielded notable performance gains, including a 20% increase in drive current (I_on) at constant off-state leakage compared to prior nodes, alongside subthreshold leakage reduction to below 100 nA/µm. These improvements, with and mobilities retaining ~90% of universal SiO₂ values at fields of 1 MV/cm, supported higher densities and switching speeds while keeping gate leakage under 0.1 A/cm².

Lithography and Patterning Methods

The 45 nm process marked a critical transition in semiconductor , where 193 nm ArF lasers served as the primary light source to push limits. , utilizing water as the immersion fluid between the lens and wafer—increasingly adopted by foundries like —increased the (NA) to 1.35, enabling single-exposure resolutions down to approximately 38 nm half-pitch for dense features. This approach overcame the constraints of dry , allowing manufacturers to pattern critical layers like gates and contacts without immediately resorting to (EUV) tools. To achieve the full 45 nm half-pitch density required for and devices, double patterning techniques were essential, effectively doubling feature resolution by splitting patterns into multiple exposures or self-aligned structures. Litho-etch-litho-etch (LELE) involved sequential and steps to define complementary patterns, while spacer-defined double patterning (SDDP), also known as self-aligned double patterning (SADP), used sidewall spacers formed on an initial pattern to create finer pitches with better overlay control. These methods were particularly vital for dry 193 nm systems, as adopted by for critical layers, but also complemented setups to extend optical lithography's viability beyond single-exposure limits. Reticle enhancements played a key role in managing and proximity effects at this node. Phase-shift masks (PSMs), such as alternating or attenuated types, improved image contrast by introducing controlled phase differences in the transmitted light, enhancing resolution for sub-45 nm features. (OPC) algorithms adjusted mask patterns to compensate for distortions, ensuring accurate on-wafer reproduction of design intent through techniques like sub-resolution assist features. The adoption of double patterning increased process complexity, adding multiple and steps that could reduce yields due to accumulated errors, but it remained more economical than pursuing 157 nm lithography, which was abandoned owing to challenges in vacuum ultraviolet source stability, CaF2 lens costs, and development. This strategy allowed the 45 nm node to leverage existing 193 nm infrastructure, contributing to higher densities while deferring EUV adoption.

Transistor and Interconnect Enhancements

In the 45 nm process, performance was enhanced through engineering techniques that introduced controlled to the , boosting carrier mobility without significantly increasing power consumption. For NMOS s, tensile was applied using stress memorization techniques and high-tensile liners, resulting in improvements of 10–20%. For PMOS s, compressive was achieved using embedded SiGe in the source and drain areas, yielding hole mobility gains of up to 30%. These mobility enhancements, ranging from 10–30% overall, allowed for higher drive currents and faster switching speeds at scaled dimensions, maintaining performance amid shrinking gate lengths. Interconnect improvements in the 45 nm focused on reducing resistance-capacitance () delays to support increased density and signal speed. Low-κ dielectrics, such as porous carbon-doped oxide (SiOCH) with dielectric constants around 2.1–2.9, were integrated with wiring and thin TaN barrier layers to prevent and enhance reliability. This combination achieved a 15% reduction in delay compared to prior nodes, mitigating signal propagation losses in denser layouts. The typical gate pitch scaled to 160 nm, paired with dimensions of approximately 50 nm, enabled efficient with 3–4 metal layers for front-end . Power delivery in the 45 nm process addressed challenges from thinner inter-layer dielectrics by incorporating optimized design rules that bolstered resistance. TaN barriers in lines, combined with refined limits, ensured robust performance under high loads, preventing void formation and maintaining interconnect integrity over extended operation. These enhancements allowed for reliable power distribution in multi-layer stacks, supporting the overall scaling of logic density.

Development and Demonstrations

Early Research Milestones

The International Technology Roadmap for Semiconductors (ITRS) editions from 2001 to 2005 outlined accelerating timelines for semiconductor scaling, with the 2001 version projecting the 45 nm node for high-performance production around 2010 based on DRAM half-pitch metrics, while subsequent updates refined this to emphasize urgent needs for . By the 2005 ITRS, the 45 nm node was forecasted for initial production in 2010, driven by MPU gate length scaling to approximately 25 nm and the recognition that traditional gate dielectrics would face severe leakage and power limitations at this scale. This edition specifically highlighted high-κ dielectrics as essential for maintaining below 1 nm while controlling gate tunneling currents, marking a pivotal shift toward alternative gate stack materials to sustain . Early collaborative efforts amplified these roadmap imperatives, notably IBM's 2002 research on fully silicided (FUSI) metal gates as a pathway to replace polysilicon electrodes and achieve tunable work functions for CMOS compatibility at sub-65 nm nodes. This work, presented at the 2002 International Electron Devices Meeting (IEDM), demonstrated FUSI gates with nickel silicide on polysilicon, showing improved threshold voltage control and reduced depletion effects, laying groundwork for integration with high-κ materials in alliance-driven development. Academic institutions contributed foundational studies on high-κ material reliability, with IMEC reporting in 2003 on the thermal and electrical of HfSiON dielectrics, which exhibited superior resistance compared to pure HfO₂, enabling equivalent thicknesses of 1.2 nm with low leakage after 700°C annealing. These findings, detailed in proceedings from the International Workshop on Gate Insulator, underscored HfSiON's potential for 45 nm gate stacks by mitigating interface traps and bias-temperature instabilities through nitrogen incorporation. Advancements in tooling were critical for patterning feasibility, as demonstrated in 2005 the TWINSCAN XT:1700i with 1.2 (NA), achieving 45 nm dense lines and spaces in resist, sufficient for half-pitch resolution in logic and memory production. Complementing this, Nikon and advanced 193 nm dry and tools, with Nikon's NSR-S307E supporting 0.92 NA exposures for 55 nm features transitioning toward 45 nm development, and 's FPA-5500iZ4 enabling similar resolutions for 300 mm wafers. The patent landscape reflected maturing integration strategies, exemplified by Intel's 2004 filings on high-κ/metal gate processes, including methods for hafnium-based dielectrics with or gates to optimize and suppress pinning. These innovations, building on Intel's IEDM 2004 presentation of 30 nm gate length transistors with HfO₂ and metal electrodes achieving 1.0 V drive currents over 1 mA/μm, provided proprietary pathways for compatible scaling at 45 nm.

Technology Demos by Companies

In 2004, achieved an early milestone in 45 nm process development by demonstrating a functional 6-transistor cell measuring 0.296 µm², utilizing planar silicon-on-insulator (SOI) technology and for patterning critical layers. This prototype highlighted TSMC's progress in scaling structures while maintaining static noise margins above 120 mV, paving the way for denser integration in logic processes. Building on this, several companies advanced prototypes in 2006, focusing on SRAM cells as key indicators of process maturity due to their sensitivity to lithography resolution and transistor performance. Intel led with the first public demonstration of a 0.346 µm² SRAM cell fabricated on 300 mm wafers, incorporating high-κ dielectrics and metal gates to reduce gate leakage while enabling higher drive currents; this marked a significant shift from traditional polysilicon gates, as detailed in the high-κ and metal gate section. The cell supported operation up to 3.8 GHz in a 153 Mb array, demonstrating yields suitable for high-volume manufacturing potential. Advanced Micro Devices (AMD), collaborating with IBM under their joint development agreement, showcased a 0.370 µm² SRAM cell in April 2006, optimized for SOI substrates to enhance performance in power-sensitive applications. This effort leveraged immersion lithography and strain-enhanced transistors for improved carrier mobility, achieving approximately 15% better SRAM cell performance over prior nodes while ensuring compatibility with AMD's processor architectures. Texas Instruments (TI) emphasized applications in analog and mixed-signal circuits with its June 2006 demonstration of a 0.24 µm² SRAM cell, the smallest reported at the time, achieved through (wet) lithography and ultralow-κ dielectrics. This prototype doubled output compared to 65 nm processes and targeted 30% performance gains at lower power, supporting TI's focus on integrated mixed-signal systems. United Microelectronics Corporation (UMC) concluded the year's demos in November 2006 with a 45 nm cell under 0.25 µm², featuring a 50% size reduction from 65 nm equivalents via 30% design rule scaling and . The emphasis was on cost efficiency, enabling higher density and faster device speeds by 30% without exotic materials, aligning with UMC's for broad adoption. These demonstrations underscored competitive advancements in cell density and , as summarized below:
CompanyYearSRAM Cell Size (µm²)Key Features
20040.296Planar-SOI,
20060.346High-κ + , 300 mm wafers
(w/ )20060.370SOI compatibility, strain enhancement
20060.24Wet for analog/mixed-signal
UMC2006<0.25Cost-focused design rule shrink

Commercial Rollout

Initial Mass Production Timelines

Matsushita Electric Industrial Co. Ltd., now known as , became the first company to commence of 45 nm integrated circuits in June 2007 at its Uozu semiconductor factory in central . The initial output focused on system large-scale integration (LSI) chips, utilizing a process that incorporated low-k dielectrics and to reduce power consumption and chip area by approximately 50% compared to the prior 65 nm node. This milestone was achieved ahead of the originally planned 2008 timeline through collaboration with Renesas Technology Corp., employing ArF with a greater than 1.0. Intel Corporation followed closely, shipping its inaugural 45 nm processors with the 5400 series in November 2007. High-volume manufacturing ramped up at the company's newly opened Fab 32 facility in , which began operations in October 2007 and utilized 300 mm wafers to support efficient production scaling. The facility's spanned 184,000 square feet, enabling rapid throughput increases for hafnium-based high-k transistors integral to the process. Advanced Micro Devices (AMD) entered 45 nm mass production later, with the Phenom II X4 processors launching in early 2009 after delays from an initial 2007 target. These setbacks stemmed from manufacturing challenges at AMD's Fab 36 in Dresden, Germany, which transitioned to the new node amid ongoing process qualification issues. Production volumes began ramping in the second half of 2008, enabling the release of desktop and server variants by early 2009. Samsung Electronics initiated 45 nm mass production in 2008, primarily for memory chips including DRAM and NAND variants. This effort integrated elements of a high-performance 40 nm process derivative to enhance density and speed in mobile and consumer applications. Across the industry, initial 45 nm production encountered yield challenges due to complexities in lithography, etching, and defect control. By 2009, process optimizations such as improved variability modeling and defect reduction techniques elevated yields significantly, facilitating broader commercial adoption.

Key Manufacturers and Variations

Intel pioneered the integration of high-κ metal gate (HKMG) in its 45 nm process for high-volume logic production, utilizing hafnium-based dielectrics to reduce gate leakage while enabling higher densities for x86 CPU architectures such as the Penryn family. This process featured nine layers of with low-κ inter-layer dielectrics, supporting enhanced performance and scaling for desktop and mobile processors. In contrast, the AMD-IBM alliance developed a 45 nm silicon-on-insulator (SOI) process tailored for applications, incorporating dual-stress liners to apply tensile to n-channel MOSFETs and compressive to p-channel MOSFETs, thereby boosting carrier mobility and drive currents by up to 32% for PMOS devices. This SOI-based approach, as implemented in products like AMD's Shanghai Opteron and IBM's POWER7, included 11 layers of low-κ wiring to achieve high on-chip bandwidth and up to 40% lower power consumption compared to bulk equivalents. TSMC's 45 nm process emphasized flexibility for application-specific integrated circuits (), relying on 193 nm combined with selective double patterning for critical layers to enable precise feature definition and the industry's highest scaling factor at the time. It supported 10 metal layers with ultra low-κ dielectrics and strained silicon channels, facilitating diverse designs from to while maintaining compatibility with libraries. Samsung adapted high-κ materials in its 45 nm process primarily for memory applications, including and , where they helped mitigate scaling challenges in capacitor dielectrics and gates to sustain density increases. Variants incorporated wet etch techniques for selective material removal, contributing to improved power efficiency in cells by reducing parasitic capacitances and enabling finer control over etch profiles. Texas Instruments focused its 45 nm process on analog and mixed-signal circuits, leveraging a wet lithography approach that doubled wafer output per wafer compared to prior nodes while achieving a 63% reduction in power consumption and 55% performance gain relative to the 65 nm generation. This wet-based patterning, integrated with SmartReflex power management techniques, optimized the process for low-power applications such as power management ICs and sensors, emphasizing reliability over aggressive digital scaling.

Implementations and Applications

Intel's Process Details

Intel's 45 nm process featured a architecture with a 160 nm contacted pitch and a 35 nm physical length, enabling dense integration while maintaining performance scaling trends. The stack incorporated a hafnium-based with an effective oxide thickness (EOT) of 1.0 nm, paired with dual workfunction metal s using (TiN). Source and drain regions utilized nickel silicide (NiSi) contacts to minimize resistance and support ultra-shallow junctions. This architecture achieved a transistor density of approximately 3.33 million s per square millimeter (MTr/mm²), roughly doubling the density from the prior 65 nm node. In Penryn processor cores produced on this process, clock speeds reached up to 3.2 GHz, balancing performance gains with power efficiency improvements from the high-κ metal gate integration. Production occurred at facilities including Fab 12 in , and development support from D1X in , utilizing 300 mm wafers to maximize throughput. The backend featured 9 interconnect layers with low-κ dielectrics, though total metal layers extended to 14 in some configurations for enhanced routing. Key innovations included laser annealing to induce and preserve channel strain, improving carrier mobility without excessive thermal budget, and embedded silicon-germanium (eSiGe) in PMOS source/drain regions, which boosted hole mobility by approximately 30%. These techniques enhanced overall drive current while integrating with the strained silicon foundation from prior nodes.

Other Notable Implementations

Advanced Micro Devices (), in collaboration with , implemented a 45 nm process utilizing silicon-on-insulator (SOI) wafers to enhance performance and reduce power consumption in applications. This approach featured a 40 nm physical gate length for partially depleted SOI transistors, enabling efficient scaling while maintaining compatibility with existing designs. The technology was notably applied in the processor family, which incorporated a shared 6 MB L3 cache to support multi-core operations in desktop and server environments. Texas Instruments (TI) developed a specialized 45 nm process optimized for mixed-signal applications, incorporating wet lithography techniques to improve yield and integration of analog and digital components on the same die. This process achieved a 55% performance improvement and 63% power reduction compared to prior nodes, particularly for digital signal processors (DSPs). It was deployed in the OMAP 3 series of mobile application processors, enabling enhanced multimedia capabilities in smartphones and portable devices. Samsung Electronics advanced its 45 nm process for low-power memory solutions, focusing on DDR3 DRAM variants tailored for mobile devices to extend battery life and support higher data rates. These implementations emphasized , achieving up to 20% lower voltage operation while maintaining compatibility with emerging mobile platforms. Semiconductor Manufacturing International Corporation (SMIC) and United Microelectronics Corporation (UMC) pursued cost-optimized 45 nm processes targeted at the Chinese market and broader foundry services, incorporating basic high-κ dielectrics but without full metal gate integration to balance performance and manufacturing expenses. SMIC licensed technology from IBM, enabling high-performance bulk CMOS variants suitable for consumer electronics. UMC's version featured a 30% design rule shrink and 50% reduction in SRAM cell size, prioritizing affordability for mid-range applications.

Products and Devices Using 45 nm

The 45 nm process enabled the production of several high-performance microprocessors that powered desktop, mobile, and server applications in the late . Intel's Penryn family, introduced in as part of the Core 2 series, utilized the 45 nm high-k metal gate process to deliver dual-core and quad-core processors with improved power efficiency and clock speeds up to 3.33 GHz, such as the Core 2 Duo E8000 series. Similarly, the 5400 series (also known as the "Harpertown" family), launched in , featured quad-core designs with up to 12 MB of L2 cache and clock speeds ranging from 2.0 to 3.2 GHz, targeting enterprise servers and offering up to 30% better performance per watt compared to prior 65 nm generations. followed with its X4 processors in late , based on the 45 nm SOI process, which included models like the X4 940 and X4 955 with 6 MB L3 cache and clock speeds up to 3.2 GHz, enabling competitive quad-core performance for consumer PCs. In the graphics and system-on-chip (SoC) space, the 45 nm node supported mobile and embedded applications. Texas Instruments' OMAP 3 series, particularly the OMAP36x variants introduced in 2009, employed a 45 nm CMOS process to integrate an core with a PowerVR SGX530 GPU, achieving up to 800 MHz CPU speeds while reducing power consumption by approximately 25% over the prior 65 nm OMAP34x, making it suitable for smartphones like the and . Consumer electronics benefited from 45 nm shrinks in gaming consoles, enhancing efficiency and reducing heat output. The Xbox 360 S model, released in 2010 (with production starting in 2009), incorporated a 45 nm version of the tri-core PowerPC CPU integrated with the ATI Xenos GPU in a single die, lowering power draw by about 20% compared to earlier 65 nm revisions and extending system reliability. Likewise, the PlayStation 3 Slim, launched in 2009, featured a 45 nm Cell Broadband Engine processor from the alliance, which consumed 40% less power and occupied 34% less die area than the original 65 nm version, contributing to quieter operation and lower energy use in models like the CECH-2000 series. Memory technologies also advanced on the 45 nm node, with leading in production for both and storage. began mass-producing 50 nm-class DDR2 and DDR3 chips in 2008, such as 1 Gb DDR3 components offering speeds up to 1333 MT/s, which enabled higher-density modules for laptops and servers while improving . For , 's 50 nm , introduced in 2007 and scaled in 2008, supported 16 Gb densities for applications in SSDs and mobile storage, achieving up to 50% higher bit density than 65 nm predecessors. Production of 45 nm chips ramped significantly across the industry, with reporting that by , the majority of its microprocessors were manufactured on the 45 nm process, contributing to overall output exceeding hundreds of millions of units annually from major fabs. By 2010, cumulative shipments of 45 nm logic and memory devices from , , and partners like had surpassed 1 billion units, peaking in as the node became the dominant process for consumer and enterprise products before the shift to 32 nm.

Legacy

Industry Impact

The introduction of the 45 nm process significantly facilitated the proliferation of multicore processors by enabling substantially higher transistor densities, allowing manufacturers to integrate more cores without proportionally increasing die sizes. For instance, AMD's X4 quad-core processors, produced on the 45 nm node, incorporated approximately 758 million transistors, a marked increase over prior generations that supported enhanced capabilities for consumer and server applications. Economically, the 45 nm process introduced higher upfront fabrication costs compared to the 65 nm node, driven by the complexities of integrating high-k materials and advanced , though exact increases varied by manufacturer. However, the process achieved roughly double the density of 65 nm, effectively halving the cost per and improving overall economics for high-volume production. This density advantage intensified competition between pure-play foundries like , which accelerated its 45 nm rollout in 2008 to match integrated device manufacturers (IDMs) such as and , fostering broader access to advanced nodes for fabless companies. In terms of power efficiency, the 45 nm process reduced leakage currents through high-k metal gate structures, enabling lower (TDP) ratings that supported the growing demand for portable computing. For example, Intel's Penryn mobile processors, such as the Core 2 Duo P8600, operated at a 25 W TDP, down from the typical 35 W of preceding 65 nm Merom chips, which extended battery life in laptops and accelerated the shift toward thinner, more mobile device designs. The widespread adoption of high-k metal gate technology at 45 nm established it as an industry standard for subsequent nodes, necessitating advancements in (EDA) tools to model increased process variability, such as fluctuations and variations in scaled transistors. This improved design predictability and reliability across the , influencing tool development from vendors like and for better simulation of nanoscale effects.

Transition to Successor Nodes

The 45 nm process marked a pivotal step in semiconductor scaling, directly paving the way for the 32 nm node introduced by Intel in late 2009 through the Westmere microarchitecture, which incorporated high-k metal gate (HKMG) transistors to enhance performance and power efficiency over the prior generation. This transition built on the HKMG foundations established at 45 nm, achieving a gate length reduction while maintaining equivalent oxide thickness improvements from 1.0 nm to 0.9 nm. Meanwhile, the 28 nm node emerged in 2011, led by TSMC, with Samsung following in 2013, relying on planar transistors and marking a delay in the broader industry shift to three-dimensional FinFET structures, which Intel pioneered at 22 nm in 2012. Key learnings from the 45 nm era addressed reliability challenges in high-κ dielectrics, including integration difficulties with metal gates and strain-enhanced channels that led to variability in threshold voltage and bias temperature instability, ultimately informing the adoption of tri-gate transistors at 22 nm to bolster gate control, reduce leakage, and enhance overall device reliability. Additionally, double patterning lithography techniques refined during 45 nm and extended to 32 nm provided a critical interim solution for resolving patterning limitations in immersion lithography, bridging the gap to extreme ultraviolet (EUV) tools deployed at the 10 nm node for sub-20 nm pitches. Mainstream production of 45 nm devices largely phased out by 2012–2013 as fabs ramped up 32 nm and smaller nodes, though the technology persisted in niche applications due to its established baselines of around 1.9 million transistors per mm². Legacy 45 nm chips continued in use through the 2020s for automotive systems and () devices, where long qualification cycles, radiation hardness, and cost-effectiveness outweighed the need for cutting-edge scaling. Variations between high-performance (HP) and low-power (LP) flavors at 45 nm, which optimized trade-offs in drive current and leakage for different applications, influenced the evolution of node nomenclature in successor generations.

References

  1. [1]
    Intel's Transistor Technology Breakthrough Represents Biggest ...
    Jan 27, 2007 · Intel's 45nm process technology also improves transistor density by approximately two times that of the previous generation, allowing the ...
  2. [2]
    The High-k Solution - IEEE Spectrum
    Oct 1, 2007 · The chips, based on our latest 45-nanometer CMOS process technology will have more transistors and run faster and cooler than ...<|control11|><|separator|>
  3. [3]
    Qualcomm Makes First Call with Chips Using TSMC's 45nm ...
    The next generation of CMOS semiconductor manufacturing, 45 nm technology enables chips that feature higher speeds, lower power consumption and enhanced ...
  4. [4]
    TSMC 45nm Design Ecosystem In Place
    Apr 9, 2007 · TSMC's 45nm process employs a combination of 193nm immersion photolithography and extreme low-k (ELK) material. With an exceptionally high gate ...
  5. [5]
    From Strain to High-K/Metal Gate - the 65 - 45 nm Transition
    The paper discusses some of the different transistor structures we have seen during the evolution of 65-nm technology, and examines the first 45-nm parts ...
  6. [6]
    [PDF] semiconductors 2005 edition metrology
    DRAM ½ Pitch (nm) (contacted). 80. 70. 65. 57. 50. 45. 40. 36. 32. MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted). 90. 78. 68. 59. 52. 45. 40. 36. 32. MPU ...
  7. [7]
    [PDF] OVERALL ROADMAP TECHNOLOGY CHARACTERISTICS
    As agreed, the key ITRS technology node identifier would continue to be the DRAM half-pitch, but also included are the aggressive MPU gate-length performance- ...
  8. [8]
    [PDF] 2007 ITRS - Semiconductor Industry Association
    In order to scale the basic MOSFET structure significantly beyond 2007 (corresponding to physical gate length of 25 nm for high-performance logic), key ...
  9. [9]
    [PDF] A 45nm Logic Technology with High-k + Metal Gate Transistors ...
    SRAM Array Density. • SRAM array density achieves 1.9 Mb/mm2. – Includes row/column drivers and other circuitry. Array density scales at ~2X per generation. 1.9 ...<|control11|><|separator|>
  10. [10]
  11. [11]
    193nm immersion lithography: Status and challenges - SPIE
    Mar 22, 2007 · 193nm immersion lithography uses a liquid medium, like water, to enhance imaging. Challenges include leaching, water contamination, and  ...
  12. [12]
    [PDF] Reliability Challenges for 45nm and Beyond - AMiner
    Jul 28, 2006 · Presently, at the 65nm node, gate oxide thickness is ~ 1.2nm [4] and with a leakage of ~ 100 a/cm2 at 1.0V [5]. Interconnect low-k dielectric.Missing: semiconductor | Show results with:semiconductor
  13. [13]
    [PDF] Metal Gate Technology for Advanced CMOS Devices - DiVA portal
    The present 65 nm CMOS technologies feature an oxide thickness of only 1.2 nm, which is equivalent to about 5 atomic layers of nitrided SiO2. The leakage ...<|control11|><|separator|>
  14. [14]
    (PDF) Challenges in scaling of CMOS devices towards 65 nm node
    Aug 6, 2025 · In turn, this has an important impact on electrical device specifications especially leakage current and the circuit power dissipation. ... gate ...
  15. [15]
    [PDF] Part One Scaling and Challenge of Si-based CMOS - Wiley-VCH
    Jun 20, 2012 · Figure 1.7 shows that progressive scaling of the gate oxide below 1.5 nm will lead to leakage current that destroys the transistor effect ...
  16. [16]
    Intel's Transistor Technology Breakthrough Represents Biggest ...
    Jan 27, 2007 · Intel's 45nm process technology also improves transistor density by approximately two times that of the previous generation, allowing the ...
  17. [17]
    [PDF] INTEL FIRST TO DEMONSTRATE WORKING 45 nm CHIPS
    45 nm Cell. Transistor density continues to double every 2 years. Page 8. 8. 45 nm SRAM Chip. 0.346 μm2 cell. 153 Mbit density. 119 mm2 chip size. >1 billion ...
  18. [18]
    [PDF] The Progress and Challenges of Applying High-k/Metal-Gated ...
    Jan 1, 2010 · Select high-k metal oxides with thin EOTs have demonstrated an orders of magnitude lower gate leakage than SiO2. However, it is still a ...<|separator|>
  19. [19]
    [PDF] CMOS Cost
    Feb 25, 2021 · • 45nm, 300mm wafers ~ $2000/wafer. • Typical “lot” of 25 wafers ... • Die Cost = Wafer Cost / # of Die. Page 10. 10. © tj. EE 4980 – MES.
  20. [20]
    Legacy Process Nodes Going Strong - Semiconductor Engineering
    Jul 23, 2024 · A 300mm wafer is more expensive than a 200mm wafer, but you spread that cost over many more chips for lower net die cost. Around 45nm, features ...
  21. [21]
    [PDF] Measuring Moore's Law: Evidence from Price, Cost, and Quality ...
    by substantially slower declines in cost per transistor after the 45nm technology node (introduced at the end of 2007). As discussed previously, the ...
  22. [22]
    [PDF] semiconductors 2005 edition interconnect
    MPU Physical Gate Length (nm). 32. 28. 25. 22. 20. 18. 16. 14. 13. Number of ... 45 nm half pitch. ALD Ru appears to be compatible with direct plating of ECD ...
  23. [23]
    [PDF] international technology roadmap
    The ITRS reflects the semiconductor industry migration from geometrical scaling to equivalent scaling. Geometrical scaling [such as Moore's Law] has guided.
  24. [24]
    High-k and Metal Gate Transistor Research - Intel
    Intel made a significant breakthrough in the 45nm process by using a "high-k" (Hi-k) material called hafnium to replace the transistor's silicon dioxide gate ...
  25. [25]
    [PDF] ctrochem.org - The Electrochemical Society
    As we approach the limits of SiON, HfSiON high-κ gate dielectrics with metal gate electrodes are being incorporated into some devices at the. 45 nm technology ...<|control11|><|separator|>
  26. [26]
    45nm High-k/Metal-Gate CMOS Technology for GPU/NPU ...
    Integrating modern stressors without IL re-growth and achieving band edge work function without increasing TINV are two major challenges for gate-first HK/MG ...
  27. [27]
    [PDF] Intel® Technology Journal
    Jun 17, 2008 · For the 45nm technology node, high k+metal gate transistors have been introduced for the first time in a high volume manufacturing process [1].
  28. [28]
    Optimum Biasing for 45 nm Node Chromeless and Attenuated ...
    Off-axis illumination (OAI) and a phase shift mask (PSM) are essentially accompanied by optical proximity correction (OPC) for semiconductor device ...
  29. [29]
    [PDF] 2003 edition - lithography - Semiconductor Industry Association
    A cost-effective pellicle solution has not yet been fully developed for 157 nm masks, further complicating mask handling for lithography at that wavelength.Missing: double | Show results with:double
  30. [30]
    Immersion lithography and its impact on semiconductor manufacturing
    Aug 7, 2025 · F2 lithography using 157-nm light seems to be a natural extension to the next node. However, several key problems in F2 lithography are still ...
  31. [31]
  32. [32]
    [PDF] 2001 Format for ITRS - Semiconductor Industry Association
    This document predicts the main trends in the semiconductor industry spanning across 15 years into the future.
  33. [33]
    AMD may bring in metal gates at 65-nm node - EE Times
    It will be interesting to see how the AMD alliance with IBM affects the introduction of FUSI. Though IBM published its own FUSI research work at the 2002 ...
  34. [34]
    ASML introduces the industry's highest NA immersion tool
    The ASML TWINSCAN XT:1700i system is a 193 nm immersion scanner capable of volume chip production at the 45 nm node.Missing: demos | Show results with:demos
  35. [35]
    ASML debuts 193-nm dry/immersion litho tool - EE Times
    Apr 20, 2004 · Nikon decided to skip an initial mass production tool with an N.A. of 0.92, which was originally planned to be introduced in 2005. The immersion ...
  36. [36]
    SPIE Report: Canon, Nikon prep multistage immersion platforms
    The 7000 AS7 model will perform 193nm immersion exposures with a lens system >1.3 NA, targeting 65nm and 45nm volume production as well as 32nm development.
  37. [37]
    Advanced Metal Gate/High-K Dielectric Stacks for High-Performance ...
    Advanced Metal Gate/High-K Dielectric Stacks for High-Performance CMOS Transistors · R. Chau · Published 2004 · Engineering, Materials Science, Physics.Missing: patent | Show results with:patent
  38. [38]
    AMD, IBM talk immersion, low-K at 45nm - Electronics Weekly
    According to IBM and AMD, performance of an SRAM cell shows improvements of approximately 15 per cent due to this enhanced process ...Missing: alliance SOI
  39. [39]
    AMD and IBM Detail Early Results Using Immersion and Ultra Low-K ...
    Dec 13, 2006 · AMD and IBM expect the first 45nm products using immersion lithography and ultra-low-K interconnect dielectrics to be available in mid-2008.Missing: alliance SOI
  40. [40]
    TI looks for 30% performance boost from 45nm chips
    Jun 12, 2006 · Texas Instruments says its 45nm semiconductor manufacturing process will double the number of chips produced on each silicon wafer.
  41. [41]
    UMC Produces Working 45-nanometer ICs - Press Release - UMC
    Nov 20, 2006 · UMC's 45nm process features a 30 percent design rule shrink, 50 percent 6-transistor SRAM cell size shrink, and a 30 percent device performance ...Missing: cost | Show results with:cost
  42. [42]
    Matsushita begins volume production at 45-nm - EDN Network
    Jun 19, 2007 · Matsushita claims to be the first company to be in volume production of 45-nm chips; industry players IBM, Intel, TSMC, UMC and Texas ...
  43. [43]
    Intel Opens First High-Volume 45nm Microprocessor Manufacturing ...
    Oct 25, 2007 · New $3 Billion Facility to Produce Processors with Intel 45nm Hafnium-based High-k Metal Gate Transistors. CHANDLER, Ariz.
  44. [44]
    AMD to Produce 45nm Chips in 2008 | TechPowerUp Forums
    Dec 13, 2007 · Advanced Micro Devices Inc. plans to ramp production of 45-nm chips in the first half of 2008. In an interview Tuesday (Dec.AMD hides 45nm Deneb at GC | TechPowerUp ForumsAMD denies all rumors of Phenom being delayed - TechPowerUpMore results from www.techpowerup.com
  45. [45]
    Phantom Phenom's perplexing processor problem behind product ...
    Dec 3, 2007 · Phantom Phenom's perplexing processor problem behind product delay. AMD already admitted that an error in Phenom's TLB was preventing the CPU ...
  46. [46]
    Chip world steps up pace toward 45-nm production - EE Times
    Earlier this year, Intel Corp. disclosed the initial details of its 45-nm process and claimed that it had produced the world's first chips based on the ...
  47. [47]
    A Detailed History of Samsung Semiconductor - SemiWiki
    Feb 11, 2019 · The strategy of low cost, mass production ... The Exynos 4 Dual debuted as the Exynos 4210 on February 15, 2011, fabbed in a Samsung 45nm LP ...
  48. [48]
    Challenges at the 45-nm node are great - EE Times
    Jul 30, 2007 · Analysis of 45-nm design and manufacturing processes has shown that effects seen in 90-nm and 65-nm nodes are amplified, and that there are ...Missing: projections | Show results with:projections
  49. [49]
    [PDF] Yield Enhancement - Semiconductor Industry Association
    Improving the systematic component of yield, which frequently constrains yield in the early stages of manufacturing, can enhance profitability by enabling ...
  50. [50]
    (PDF) A 45nm Logic Technology with High-k+Metal Gate Transistors ...
    A 45 nm logic technology is described that for the first time incorporates high-k + metal gate transistors in a high volume manufacturing process.
  51. [51]
    [PDF] Intel's 45nm CMOS Technology - Semantic Scholar
    A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors · Engineering, Materials Science. IEEE ...
  52. [52]
    Dual stress liner for high performance sub-45nm gate length SOI ...
    This dual stress liner (DSL) approach results in NFET/PFET effective drive current enhancement of 15%/32% and saturated drive current enhancement of 11%/20%.
  53. [53]
    The implementation of POWER7™: A highly parallel and scalable ...
    May 18, 2010 · High on-chip performance and therefore bandwidth is achieved using 11 layers of low-κ copper wiring and devices with enhanced dual-stress liners ...Missing: AMD | Show results with:AMD
  54. [54]
    Under the Hood: AMD's Shanghai marks move to 45-nm node
    AMD moves to the 45-nm technology node with the launch of its new Opteron server chip, code-named Shanghai.
  55. [55]
    45-nm silicon-on-insulator CMOS technology integrating embedded ...
    The 45-nm technology, called 12S and developed for IBM POWER7®, is an extremely robust and versatile technology platform that allows for a rich set of ...Missing: AMD | Show results with:AMD
  56. [56]
    TSMC rolls 45-nm process - EDN Network
    Apr 9, 2007 · Its 45-nm general-purpose and high-performance process provides more than double the density and a greater than 30 percent speed enhancement ...
  57. [57]
    TSMC speeds 45-nm process launch - EE Times
    May 22, 2006 · In January, Intel Corp. (Santa Clara, Calif.) disclosed the initial details of its 45-nm process and claimed it had produced the world's first ...
  58. [58]
    Samsung boosts chipmaking to secure big tech orders - Tech in Asia
    Jul 2, 2025 · ... NAND technology and high-κ dielectrics with 45nm processes 2. Samsung's vertical integration model, controlling everything from design to ...
  59. [59]
    45nm High-k + Metal gate strain-enhanced CMOS transistors
    At the 45 nm technology node, high-k + metal gate transistors were introduced for the first time on a high-volume manufacturing process [1].
  60. [60]
    TI reveals details of 45-nm process - EE Times
    The design details of the 45-nanometer process used to lower power by 63 percent and increase performance by 55 percent, compared with its 65-nanometer process ...Missing: wet | Show results with:wet
  61. [61]
    Texas Instruments Announces New 45nm Semiconductor ...
    TI has unveiled details of a 45-nanometer (nm) semiconductor manufacturing process that leverages a wet lithography process to double the number of chips ...
  62. [62]
    Texas Instruments presents details of 45nm process - News
    Feb 6, 2008 · TI's 45nm process incorporates various technology advancements and design techniques along with new SmartReflex 2 power and performance ...Missing: wet | Show results with:wet
  63. [63]
    IEDM 2005: Selected Coverage - Page 8 of 17 - Real World Tech
    At IEDM 2005, Intel did present a Nickel-silicide (NiSi) based metal gate technology that is a candidate for use in Intel's next generation 45 nm process.
  64. [64]
    [PDF] Made in America Since 1968 - Intel
    In February 2009,. Intel announced a $7 billion upgrade to our manufacturing facilities in ... D1X ... 45nm/32nm/22nm* 300mm. 2007. Fab 12. Chandler, AZ.
  65. [65]
    [PDF] Uniaxial Strained Silicon CMOS Devices for High Performance ...
    Our epi SiGe S/D PMOS devices show significant improvement in measured linear drive (~60%) and IDSAT. (~30%) relative to devices with standard S/D architecture.<|separator|>
  66. [66]
    AMD Phenom II X4 980 Black Edition CPU Review | HotHardware
    Rating 4.0 May 3, 2011 · The chips are produced on GlobalFoundries 45nm DSL SOI process node and are comprised of roughly 758 million transistors. As we've mentioned, ...
  67. [67]
    Michael RAAB | GlobalFoundries Inc., Dresden | Research profile
    The paper will highlight several challenges found during the course of development for bringing 40nm gate length (LGATE) PD SOI transistors into volume ...
  68. [68]
    Phenom II - Wikipedia
    Phenom II is a family of AMD's multi-core 45 nm processors using the AMD K10 microarchitecture, succeeding the original Phenom.Missing: 0.370 2006
  69. [69]
    Texas Instruments extends OMAP 3 family with 45 nm products to ...
    Advanced 45 nm CMOS process technology dramatically boosts performance while reducing power consumption in Smartphones and Mobile Internet Devices.Missing: wet lithography mixed-
  70. [70]
    [PDF] SamSung electronicS
    In 2009, we sold 6 million units of mobile PCs. This ... devices by developing the world's first 45nm low-power 1GHz mobile application processor. (AP).
  71. [71]
  72. [72]
    SMIC Achieves Silicon Success with High Performance 45 ...
    SMIC signed an agreement with International Business Machines (IBM) to license its low-power and high-performance bulk CMOS technologies in December 2007. The ...
  73. [73]
    [PDF] Introducing the 45nm Next-Generation Intel® Core™ Microarchitecture
    Penryn, the first family of processors based on Intel's new 45nm Hi-k silicon technology, makes good use of the additional transistors this technology can pack ...
  74. [74]
    [PDF] Quad-Core Intel® Xeon® Processor 5400 Series
    utilizing four 45-nm Hi-k next generation Intel® Core™ microarchitecture cores. The processor is manufactured on Intel's 45 nanometer process technology ...
  75. [75]
    [PDF] OMAP™ 3 family of multimedia applications processors
    TI's OMAP 3 family of applications processors integrate the ARM Cortex-A8 superscalar microprocessor core, delivering up to 3x the performance of ARM11-based ...Missing: wet lithography mixed-
  76. [76]
    ATI Xbox 360 S GPU Specs - TechPowerUp
    ATI Xbox 360 S GPU ; Architecture: TeraScale ; Foundry: TSMC ; Process Size: 45 nm ; Transistors: 372 million ; Density: 2.2M / mm².
  77. [77]
    PS3 Slim Packing 45nm Processors - IGN
    Aug 20, 2009 · The new PS3s are packing 45-nm cell processors that are 34 percent smaller and drain 40 percent less power from the system.<|separator|>
  78. [78]
    Samsung Announces First Validated 40-nanometer Class DRAM
    Feb 5, 2009 · Samsung Electronics announced today that it has developed and validated the first 40-nanometer (nm) class DRAM chip and module.Missing: NAND | Show results with:NAND
  79. [79]
    Samsung using 45-nm process for ARM-11 based processor
    Samsung Electronics Ltd has started sampling its ARM11-based application processor that will be made on its 45-nm CMOS process technology.
  80. [80]
    [PDF] 2009 Annual Report - Intel
    We now produce a substantial majority of our microprocessors using 45-nanometer (nm) process technology, and we have achieved high-volume production of the ...
  81. [81]
    Phenom 2 X4 940 BE, X4 810 & X3 720 BE - Bjorn3D.com
    Feb 9, 2009 · Process Technology, 45-nanometer DSL SOI (silicon-on-insulator) technology. Approximate Transistor count, ~ 758 million (45nm). Approximate Die ...<|separator|>
  82. [82]
    How low can you go? A look at 45-nm-IC-design challenges - EDN
    Sep 13, 2007 · The 45-nm node promises SOC (system-on-chip) designers either a 40% increase in transistor counts over 65 nm or a 40% reduction in die size.Missing: EOT | Show results with:EOT
  83. [83]
    Intel and AMD finalizing new notebook technology | ZDNET
    These Penryn processors are gradually replacing the 65nm Merom chips found in most Intel-based laptops. ... 25W, $348. Core 2 Duo P8600, 2.40GHz, 1066, 3MB, 25W ...<|control11|><|separator|>
  84. [84]
    Centrino - Wikipedia
    ... Merom's and first-generation Penryn's 34W TDP. But after release only a few models (P series) have 25W TDP and the rest (T series) still have 35W TDP ...
  85. [85]
    (PDF) Modeling and Optimization of Variability in High-k/Metal-Gate ...
    We present an in-house tool to simulate random dopant fluctuation effects on nano-scale devices with nonuniform channel doping profiles.
  86. [86]
    Intel 32nm High-K Metal Gate (HKMG) Recognized as Most ...
    Jul 27, 2010 · Far from being a simple shrink, there are noticeable improvements in Intel's 32nm devices over the 45nm generation, including transistor drive ...
  87. [87]
    [PDF] White Paper Introduction to Intel's 32nm Process Technology
    Yield improvements for the 32nm process have matched or exceeded the rate of the 45nm process. Intel has brought four fabs (semiconductor manufacturing ...
  88. [88]
    28nm Technology - Taiwan Semiconductor Manufacturing
    In 2011, TSMC became the first foundry that provided 28nm General Purpose process technology. Following this, TSMC continued to expand it 28nm technology ...Missing: planar | Show results with:planar
  89. [89]
    The Trouble With FinFETs - Semiconductor Engineering
    Aug 16, 2012 · GlobalFoundries and Samsung introduced HKMG at 32nm. TSMC began ramping HKMG with its 28nm production in 2011, almost four years after Intel.
  90. [90]
    [PDF] A 22nm SoC Platform Technology Featuring 3-D Tri-Gate and High ...
    This paper reports, for the first time, a leading edge 22nm SoC process technology featuring 3-D Tri-Gate transistors which employs high speed logic ...Missing: impact | Show results with:impact
  91. [91]
    Metrology challenges for double exposure and double patterning
    Double patterning has emerged as a likely lithography technology to bridge the gap between water-based ArF immersion lithography and EUV.
  92. [92]
    [PDF] A 45nm Logic Technology with High-k+Metal Gate Transistors ...
    A 45 nm logic technology is described that for the first time incorporates high-k + metal gate transistors in a high volume manufacturing process.
  93. [93]
    The Strategic Importance of Legacy Chips - CSIS
    Mar 3, 2023 · The chip shortage in late 2020 drew widespread attention to the fact that the most advanced semiconductors are no longer manufactured in the United States.Missing: IoT | Show results with:IoT
  94. [94]
    Comparison of 45 nm GP and LP flavors. The LP flavor shifts the...
    Comparison of 45 nm GP and LP flavors. The LP flavor shifts the multiplier Eop curve to lower ftarget. Combining flavor and Vt selections enables low energy ...Missing: Samsung HN
  95. [95]
    Logic Node - Process Technology - Samsung Semiconductor
    Get insights into Samsung's Logic Node process technology, engineered for optimal performance and efficiency in advanced semiconductor applications.Missing: wet etch